CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - de2

搜索资源列表

  1. fir_filter

    0下载:
  2. 采用vhdl语言在Altera的开发板DE2-70上实现的低通滤波器的工程-Vhdl language used in the Altera DE2-70 development board to achieve the low-pass filter project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:14575
    • 提供者:舒念
  1. altera_de2_vhdl

    0下载:
  2. Tutorial of VHDL with Altera DE2 board: quartus II and DE2 board The target do the BCD sum of input data coded with the switches and display the result on 7 segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:590232
    • 提供者:candido
  1. TRDB_LCM

    0下载:
  2. DE1/DE2的TRDB_LCM驱动Verilog源代码。-DE1/DE2 of TRDB_LCM drive Verilog source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:248734
    • 提供者:zhouxiao
  1. lcdlab1

    0下载:
  2. lcd interface with de2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:28250
    • 提供者:datla
  1. ps2

    0下载:
  2. ps/2 keyboard, c source code for avr
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:5707
    • 提供者:Snems
  1. altera_up_avalon_irda

    0下载:
  2. 这个是关于DE2版上的IRDA的IP。同学可以直接挂sopcbuild 上进行开发!-This is the IRDA on the DE2 version of IP. Students can be directly linked to sopcbuild on development!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:480452
    • 提供者:晓枫
  1. DE2_lab_exercises

    0下载:
  2. 这是台湾友晶科技为DE2 FPGA开发板所提供的学习资料,非常适合大学数字电路的学习以及FPGA入门的人学习。-This is the Friends of the crystal technology DE2 FPGA development board provides learning materials, is ideal for university study and FPGA digital circuit who started learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2172130
    • 提供者:王显海
  1. displayHELLO

    0下载:
  2. verilog语言编写,在altera公司的de2实验板上实现八个数码管循环显示HELLO-verilog language, in the experimental altera de2 board to achieve the company' s eight digital control loop shown HELLO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1825
    • 提供者:luping
  1. vga_gui

    0下载:
  2. 在DE2开发板上实现,由于DE2中的SSRAM只有512K,所以640*480*3(byte)的显存是不够的显示结果是经缩放 后的效果,具体可修改Altera_UP_Avalon_Pixel_Buffer buffer模块中的相关代码。 我把代码移植到DE2-70上后,显示的就很正常了。-In the DE2 development board to achieve, due to the SSRAM DE2 only 512K, so 640* 480* 3 (byte)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29208346
    • 提供者:张业
  1. ADV7123

    0下载:
  2. The ADV7123 (ADV® ) is a triple high speed, digital-to-analog converter on a single monolithic chip.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:211987
    • 提供者:JYPark
  1. Verilog_HDL_Reference_Manual

    0下载:
  2. Altera DE2 board manual
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:793795
    • 提供者:tong
  1. MY_EDA

    0下载:
  2. 自己做的一个抢答器,完整代码,基于DE2的。-Myself as a Responder, complete code, based on DE2' s.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:560245
    • 提供者:
  1. DE2_Default

    0下载:
  2. DE2开发板基本代码 DE2开发板基本代码 -basic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3908426
    • 提供者:鲁金屏
  1. pmmd

    0下载:
  2. 基于nios的跑马灯设计,DE0开发板上实现了,跑马灯的流动-Based on the design of nios lanterns, DE0 Development Board on the flow, racing lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7873380
    • 提供者:朱欢
  1. SDRAM_ctrl

    0下载:
  2. 基于DE2的SRAM驱动,带测试程序。用VHDL语言编写。-sdram drive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6714405
    • 提供者:唐东升
  1. incremental

    0下载:
  2. 这是基于DE2平台的增量式编译实验,对初学者很具有参考价值-This is based on incremental compilation DE2 platform experiment, a very useful reference for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7944346
    • 提供者:fangming
  1. TV_DE2

    0下载:
  2. 修改的DE2的例子,适合模拟输入数字输出,数码管显示光点位置。-Modified example of DE2 for analog input digital output, digital display spot position.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18144727
    • 提供者:haha
  1. sr8b

    0下载:
  2. This a shift register of 8bit It includes testbench It works DE2-70 board-This is a shift register of 8bit It includes testbench It works DE2-70 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:715660
    • 提供者:SEOKJO
  1. DE2shijian(1)

    0下载:
  2. FPGA与SOPC设计教程:DE2实践-第一章 fpga和de2的介绍-FPGA and SOPC design tutorials: DE2 Practice- Chapter introduction to fpga and de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1789668
    • 提供者:lu
  1. SRAM_WR

    0下载:
  2. 实现对SRAM的读写。具体功能:在DE2开发板上通过键盘SW0-SW3输入数据存入SRAM中,同时LEDR0=LEDR3显示输入数据;SW17控制SRAM的输入与读出,LEDR4-LEDR7显示读出结果。-To achieve the SRAM read and write. Specific features: In the DE2 development board via the keyboard SW0-SW3 input data into the SRAM, while LEDR0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:337809
    • 提供者:
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 ... 46 »
搜珍网 www.dssz.com