CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数字时钟

搜索资源列表

  1. digital-clock

    0下载:
  2. 基于FPGA的数字时钟设计,时钟可以按设定好的时间进行自动计时,FPGA板子上可以显示相应的时钟数字,是数字电路课程的一个课程设计,也是对于VHDL语言的一个熟悉过程.-FPGA-based digital clock design, the clock can be a good time to set automatic timing, FPGA board clock can display the corresponding figure is a digital circuit des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:337653
    • 提供者:李源码
  1. shuzishizhong

    0下载:
  2. 这是基于verilog hdl的数字时钟源代码,能够实现时分秒的计时,可以手动进行调时与调分。-This is based on the digital clock verilog hdl source code, can be achieved when every minute of the time, you can adjust the time manually adjusting points.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:373300
    • 提供者:朱枫
  1. digital_clock

    0下载:
  2. FPGA数字时钟,基于verilogHDL-FPGA digital clock, based verilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3992681
    • 提供者:童文飞
  1. pcf8563

    0下载:
  2. pcf8563,在quartusII下VERILOG编写的数字时钟程序,8位数码管显示-pcf8563, written in quartusII VERILOG digital clock program, eight digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1040025
    • 提供者:yyq
  1. Example22

    0下载:
  2. 设计了一款基于多功能数字时钟的小程序,产生1Hz时钟的分频计数器并正常运行-Based on a small program designed multifunction digital clock divider to generate 1Hz clock counter and running
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:285167
    • 提供者:卢进
  1. EDA-clockr

    0下载:
  2. EDA技术之数字时钟,带有定时闹钟功能-The EDA technology digital clock, alarm clock with timer function. . . . . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:348458
    • 提供者:凌寒
  1. clock

    0下载:
  2. 基于FPGA的一个数字时钟的实现,还有硬件仿真,需要用quartusii_60_sp1_web_edition软件实现。-FPGA-based implementation of a digital clock, as well as hardware emulation, you need to use quartusii_60_sp1_web_edition software.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:345887
    • 提供者:zd
  1. clock

    0下载:
  2. 数字时钟 LCD1602显示 可以校时。 编译环境QUARTUS II 7.2 -Digital clock LCD1602 display can be corrected. Compilation environment QUARTUS II 7.2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2157610
    • 提供者:zjh
  1. shuzishizhong

    0下载:
  2. FPGA代码,数字时钟,可调小时,分钟,秒钟,调节时闪烁-digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6719022
    • 提供者:洪世林
  1. 12

    1下载:
  2. 用Verilog语言编写的数字时钟程序-Using Verilog language digital clock procedures!!!!!!!!!!!!!!!!!!!!!!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:315688
    • 提供者:望奎
  1. digital_clock

    0下载:
  2. 本实验设计一个能够显示时、分、秒的数字时钟,时间在七段数码管上显示,显示数字为十进制数。通过开发板上的按键调整数字时钟的时间,分别用四个按键来控制分、时的增减,对于分、时的调整只影响本位,不产生进位或借位。各按键及数码管的功能要求如表1 所示。需要特别说明,因为开发板数码管的显示位宽不够,因此,通过一个开关进行切换选择(如:开,显示时分;关,显示分秒)。-When this experiment to design a display hours, minutes, seconds, digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:刘旭
  1. lcd

    0下载:
  2. fpga开发板实现lcd1602显示屏显示数字时钟。开发板测试通过-FPGA development board to achieve LCD1602 display digital clock. Through the development board test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3573708
    • 提供者:tangfei
  1. mathtime

    0下载:
  2. 数字时钟maxplusii的实现,融合了VHDL与数字电路的内容,可自己添加一些自己想要的比如说彩灯,正点报时等功能-Digital clock maxplusii implementation combines the contents of VHDL and digital circuits, some of you want to add your own lantern, punctual timekeeping functions, for example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:270736
    • 提供者:Yanzi
  1. Timer_New

    0下载:
  2. 数字时钟,24小时显示功能 但是清零有问题-Timer for vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:475209
    • 提供者:lanchel
  1. shuzizhong

    0下载:
  2. 基于CPLD的智能数字时钟VHDL设计,能实现时钟、秒表、闹钟、定时等功能-ntelligent digital clock CPLD VHDL-based design enables the clock, stopwatch, alarm clock, timer, and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:411377
    • 提供者:Steve
  1. clock

    0下载:
  2. 基于FPGA的数字时钟设计,本人试过,能用。-FPGA clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2080437
    • 提供者:李海裴
  1. superdigitalclock

    0下载:
  2. 这是基于FPGA开发板BASYS2的一个智能数字时钟,可以分3种模式:分钟,秒,百分之一秒。通过button切换模式并显示在数码管上-This is based on the FPGA development board BASYS2 a intelligent digital clock, can divide three patterns: the minutes and seconds, of a second.Through the button switch mode and in d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1496389
    • 提供者:黄志宇
  1. timeclk

    0下载:
  2. 数字时钟数码管显示时分秒,每一个小时蜂鸣器响2秒,课程设计,验证通过-Digital clock digital display minutes and seconds, every hour the buzzer 2 seconds, curriculum design, verification by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:661754
    • 提供者:董君
  1. clock_18b20

    0下载:
  2. 基于lcd1602的温度计和数字时钟,包含详细的代码解释和实现方式-Lcd1602 based thermometers and digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1719426
    • 提供者:孤风
  1. TIMER

    0下载:
  2. 用Verilog语言模拟的数字时钟的功能,时分秒工能都有,适合做毕设,完整工程-Verilog language simulation of the digital clock function, the time of the second division of the work can be, for the completion of the project, complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:652889
    • 提供者:ww
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 »
搜珍网 www.dssz.com