CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 汉字显示实验

搜索资源列表

  1. vhdl_LED

    0下载:
  2. 点阵显示实验示例使用说明 使用模块有:时钟源模块、点阵显示模块,脉冲沿模块。 使用步骤: 1. 打开电源+5V。 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载 4. 脉冲沿模块的按键MS1为复位清零键,灯灭时有效,点阵块上会显示汉字。 -lattice experimental use of the use of sample modules : clock source modules, dot-matri
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:334063
    • 提供者:刘浪
  1. TFT.rar

    0下载:
  2. 基于FPGA的实验。使用FPGA直接控制TFT彩屏,达到显示彩条的效果。使用FPGA连接TFT控制器,使显示一组汉字或一幅图像。 ,FPGA-based experiment. FPGA to directly control the use of TFT color display to show the effect of color. TFT controller using FPGA connected to a group of Chinese characters displaye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-07
    • 文件大小:750
    • 提供者:贺欧
  1. LCD12864xianshihanzi

    0下载:
  2. 12864显示汉字,很好的,在CPLD实验板上通过验证-12864 display Chinese characters, very good, validated in the CPLD experiment board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:293203
    • 提供者:wanghong
  1. dianzhenhanzixianshi

    0下载:
  2. 点阵汉字显示的VHDL原程序.综合实验课程的程序,完全可以用的 希望大家支持啊-Dot-matrix characters shown in the original VHDL program. Comprehensive experimental program procedures, can be used to hope you will support the ah
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:81792
    • 提供者:朱武增
  1. example8

    0下载:
  2. 本实验是点阵的汉字滚动程序,显示的一个汉字滚动程序。本例程中的汉字编码在word函数中,编码是一个“王”字。实验结果是汉字从左到右的滚动,其他的方式可以自行编程。 -This experiment is the Chinese character dot matrix rolling process, shows a Chinese rolling process. This routine in the word in the Chinese character coding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:27103
    • 提供者:panda
  1. PLD

    0下载:
  2. PLD实验代码,包括格雷码计数器、键盘扫描和LED点阵显示、SRAM读写、LCD12864显示汉字。-PLD experimental code, including the Gray code counter, keyboard scanning and LED dot matrix display, SRAM read and write, LCD12864 display Chinese characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:132209
    • 提供者:马昭鑫
  1. dianzhenxianshihanzi

    0下载:
  2. 点阵显示汉字,在CPLD实验板上通过验证-Dot matrix display Chinese characters in the CPLD experiment board validation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:248896
    • 提供者:wanghong
  1. VGA_CTL

    0下载:
  2. 通过VGA显示一个汉字,用verilog编写,属于进阶实验-Through a VGA display Chinese characters, written with verilog, are advanced experimental
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1483201
    • 提供者:林爻
  1. fpga-vga

    0下载:
  2. 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5575744
    • 提供者:郭晓阳
  1. dianzhen

    0下载:
  2. 点阵实验,可实现16*16点阵显示汉字 -Lattice experimental, can achieve 16*16 dot matrix display Chinese characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1136427
    • 提供者:李博
  1. dianzhen

    0下载:
  2. fpga实验板上16*16点阵显示汉字的程序-翻译结果fpga实验板上16*16点阵显示汉字的程序 Fpga experiment board 16* 16 dot matrix display characters program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1173335
    • 提供者:123
  1. dianzhen

    0下载:
  2. 这是一个基于FPGA开发实验箱的汉字点阵显示的Verilog HDL程序,经过实验调试验证过的 -This is an FPGA-based development of experimental box character dot-matrix display Verilog HDL procedures, through experimental testing verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1182556
    • 提供者:micheal-王
  1. A-C8V4

    1下载:
  2. 淘宝畅销FPGA开发板的A-C8V4 电路图及例子 9实验九:利用语言实现3-8译码器 10实验十:利用语言实现按键和数码管显示 11实验十一:利用语言实现乘法器数码管显示 …… 18实验十八:利用语言实现蜂鸣器唱歌 23实验二十三:利用语言实现LCD1602显示 24实验二十四:利用语言实现LCD12864显示汉字 25实验二十五:利用程序实现串口RS232与电脑通信 28实验二十八:利用程序实现VGA显示RGB彩条信号 31实验三十一:利用程序实
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:12894094
    • 提供者:liyang
  1. 5

    0下载:
  2. 进阶实验_06_VGA :通过VGA显示一个汉字,800X600@72Hz-Advanced experiments _06_VGA: Show a character through VGA, 800X600 @ 72Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2368680
    • 提供者:李强
  1. VGA

    0下载:
  2. 进阶实验_05_VGA :通过VGA显示一个汉字,800X600@72Hz-Advanced experimental _05_VGA: through the VGA display a Chinese characters, 800 x600 @ 72 hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1343633
    • 提供者:FLL
搜珍网 www.dssz.com