CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 30

搜索资源列表

  1. 脉冲记时CPLD

    0下载:
  2. 工作原理: 脉冲输入,记录30个脉冲的间隔时间(总时间),LED显示出来,牵涉到数码管的轮流点亮,以及LED的码。输入端口一定要用个 74LS14整一下,图上没有。数码管使用共阴数码管。MAXPLUS编译。 测试时将光电门的信号端一块连接到J2口的第三管脚,同时第一管脚为地,应该与光电门的地连接(共地)。 开始测试: 按下按键,应该可以见到LED被点亮,指示可以开始转动转动惯量盘,等遮光片遮挡30次光电门后, LED熄灭,数码管有数字显示,此为时间值,单位为秒,与智
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:644978
    • 提供者:高颖峰
  1. wave_genarator_vhdl

    0下载:
  2. vhdl波形发生程序.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -vhdl waveform occurred procedures. 4 achieve common sinusoidal waveform, 1.30, sawtooth, square-wave (A, B) the frequency and amplitude control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10310
    • 提供者:江汉
  1. thirty_VHDL_coder

    0下载:
  2. 三十个vhdl源码,对于刚开始学习vhdl的很有帮助,可以帮助理解数字电路-30 vhdl source for the beginning of the study vhdl helpful, and can help to understand digital circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:20018
    • 提供者:江汉
  1. DesignOfRGY_jiaotongteng

    0下载:
  2. 1.初始状态为4个方向的红灯全亮,时间1秒。 2.东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间30秒。 3.东、西方向黄灯闪烁,南、北方向红灯亮。时间2秒。 4.东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间15秒。 5.东、西方向红灯亮,南、北方向黄灯闪烁。时间2秒。 6.返回2,继续运行。 -1. Initial state for four whole direction of the red lights lit up, a se
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:573126
    • 提供者:David
  1. rs_decoder_31_19_6.tar

    1下载:
  2. Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-22
    • 文件大小:14247
    • 提供者:孟轲敏
  1. qiangdaqi

    0下载:
  2. 实现抢答器功能,30秒的倒数,抢答控制均可以,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244096
    • 提供者:涂亮
  1. This VHDL code pertains to the DCO model

    0下载:
  2. code.doc C.1 DCO LEVEL 2 This VHDL code pertains to the DCO model descr iption in Section 6.5.5. The entity declaration of the level 2 DCO is between lines 18 and 39. The VHDL generics or elaboration-phase parameter constants are declared between
  3. 所属分类:VHDL编程

    • 发布日期:2012-09-11
    • 文件大小:67584
    • 提供者:a1234567
  1. stopwatch

    2下载:
  2. 此程序实现计时秒表功能,时钟显示范围00.00~99.99秒,分辨度:0.01秒 采用PIC16F877单片机,6位数码管显示 开发平台:MPLAB IDE v8.30 类型:工程文件(内有C源码),已验证通过-This program achieved stopwatch function, clock display range 00.00 to 99.99 seconds Resolution: 0.01 seconds using PIC16F877 microcontro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-06
    • 文件大小:30720
    • 提供者:jiayuan
  1. basketball

    0下载:
  2. 30秒篮球倒计时设计程序源码及仿真原码,下载即可用-30 basketball countdown procedures and simulation of the original source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:90605
    • 提供者:ant
  1. 0097

    0下载:
  2. MAX+plus II编译的模30加法计数器,简单的与非门组成!-MAX+ Plus II compiler module adder 30 counters, a simple composition with the non-door!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13824
    • 提供者:LEE
  1. travel

    0下载:
  2. 自己做的vhdl课程设计,交通灯:实现主干道倒计时,分别为30,20,5秒,分情况:当主干道有车时,红黄绿交替,当只一个道路上有车时,那个道的交通灯变绿色,利用max+plus2做成,使用flex8000,epf8282alc84_4只用加一个38译码器模块即可,使用别的板子也可以运行-VHDL to do their own curriculum design, traffic lights: the realization of the trunk road countdown, 30,20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:530094
    • 提供者:安治州
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. alarm-clock

    0下载:
  2. 该代码用VHDL实现了闹钟的定时和提醒功能。里面包含四部分代码,分别实现了60,30,2分频;键盘控制;外围控制;用quartus2软件就可以打开,压缩包中附有四个代码的仿真结果。-The VHDL code used to achieve the alarm clock to remind the timing and function. Code which contains four parts, namely a frequency 60,30,2 keyboard control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18380
    • 提供者:杨帆
  1. munecas

    0下载:
  2. cunter of muñ ecas this cunter is 10,20,30,40,50,60,70,80,90
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:880404
    • 提供者:moikanosb
  1. lanqiu-30

    0下载:
  2. 30秒计时器,有0~30顺数计数,个位,十位分开写-30 miao ji shi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:765
    • 提供者:蓝子
  1. WW

    0下载:
  2. 本程序就是一个简单的30秒倒计时....谢谢合作-xmncdjdkddd dsef
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:159545
    • 提供者:蓝子
  1. VerilogLangRefManual

    0下载:
  2. Simulation results show that energy savings in the range 30–60 and 10–60 are achieved in equalization and decoding, respectively. Furthermore, we present finite precision requirements of the linear turbo equalizer and an efficient rescaling metho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1283063
    • 提供者:suresh
  1. traffic

    0下载:
  2. 本程序模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯,并在数码管上显示当前状态剩余时间。 -- 红灯持续时间为30秒,黄灯3秒,绿灯30秒-This procedure simulated the red yellow and green traffic lights at the junction of the change process, said the traffic lights with LED lights, and digital tube displays the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1368
    • 提供者:rongchao
  1. filter

    0下载:
  2. program d un filtre passe bas ordre 30
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2449
    • 提供者:YASSINE
  1. traffic

    0下载:
  2. 本实验模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯,并在数码管上显示当前状态剩余时间。 -- 红灯持续时间为30秒,黄灯3秒,绿灯30秒-traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:191022
    • 提供者:zz
« 12 3 »
搜珍网 www.dssz.com