CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - Direct

搜索资源列表

  1. ep1c629_dds

    0下载:
  2. 直接数字式频率合成器dds源代码加测试代码-Direct Digital Frequency Synthesizer dds source code plus test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:96917
    • 提供者:scl
  1. wcy

    0下载:
  2. FPGA-based direct digital synthesizer (DDS) design (source code)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:671016
    • 提供者:wangchuanyang
  1. jiyufpgazhijiepinlvhechengqi

    0下载:
  2. FPGA的直接频率合成器的设计,新颖独特,很好的频率合成器的参考资料,尤其是运用逻辑门阵列的有参考价值.-Direct frequency synthesizer FPGA design, novel and unique, good reference frequency synthesizer, in particular the use of logic gate arrays have reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:149061
    • 提供者:烟雨楼
  1. dds

    0下载:
  2. Direct Digital Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3837
    • 提供者:amvmax
  1. 224

    0下载:
  2. 直接数字合成器中贝塞尔低通滤波器设计。非常适应-Direct digital synthesizer Bessel low-pass filter design. Is to adapt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:221967
    • 提供者:柏玉梅
  1. CompletethedirectsequencespreadspectrumsystemPNpre

    1下载:
  2. 完成直接序列扩频系统的伪码精确同步,并用FPGA进行实现-Complete the direct sequence spread spectrum system PN precise synchronization, and implementation with FPGA for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:31972
    • 提供者:jiajia
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. PS2_kebord_controller

    0下载:
  2. PS2键盘控制器的VHDL源码,用FPGA直接读取键盘的输入并显示。-PS2 keyboard controller VHDL source code, with a direct FPGA to read keyboard input and displayed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:845979
    • 提供者:ilovethisgam
  1. Three-stage-state-machine

    0下载:
  2. 状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以许 多公司的硬件和逻辑工程师面试中,状态机设计几乎是必选题目。本章在引入状态机设计思想的基础上,重点讨论如何写好状态机。-State machine is an important part of logic design, state machine design engineers a direct response to the logic level of skills, so the company s ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:294435
    • 提供者:田涛
  1. dds

    0下载:
  2. 直接数字频率合成器的设计,可以借鉴一下。-Direct Digital Synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:100735
    • 提供者:daxiadian
  1. dma

    0下载:
  2. This direct memory access (DMA) source code.-This is direct memory access (DMA) source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1955
    • 提供者:ploi
  1. 12.4Uart

    0下载:
  2. 最简单的verilog串口发送接收源代码,已经上机调试,请放心,直接使用-Simple transmit and receive serial verilog source code, has been on the machine commissioning, please rest assured, direct use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:203305
    • 提供者:大方的
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. Example-4-8

    0下载:
  2. always模块的敏感表为电平敏感信号的组合逻辑电路 这种形式的组合逻辑电路应用非常广泛,如果不考虑代码的复杂性,几乎任何组合逻辑电路都可以用这种方式建模。always模块的敏感表为所有判定条件和输入信号,请读者在使用这种结构描述组合逻辑时,一定要将敏感表写完整。在always模块中可以使用if…else…、case、 for循环等各种RTL关键字结构 assign等语句描述的组合逻辑电路 这种形式的组合逻辑电路适用于描述那些相对简单的组合逻辑,信号一般被定义为wire型,常用
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:42033
    • 提供者:林立
  1. ourdev_461286

    0下载:
  2. 时钟分频器源代码,使用在fpga中,直接可以使用的源代码-Clock divider source code, used in the fpga, direct source code can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2014
    • 提供者:lv
  1. dds_xu

    0下载:
  2. 直接数字频率合成器的VHDL完全源码,经测试可以正常使用,仿真正常-Direct Digital Frequency Synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5587106
    • 提供者:5sdasd
  1. FPGA-VHDL-DDS

    0下载:
  2. 这是基于FPGA的直接数字频率合成器的程序,是VHDL语言-This is based on FPGA for direct digital frequency synthesizer program that is VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1253461
    • 提供者:笙箫
  1. DDR-SDRAM

    0下载:
  2. 本应用指南描述了在 Virtex™ -4 XC4VLX25 FF668 -10C 器件中实现的 DDR SDRAM 控制器。该实现运用了直接时钟控制技术来实现数据采集,并采用自动校准电路来调整数据线上的延迟。-This application note describes a Virtex ™ -4 XC4VLX25 FF668-10C to implement the DDR SDRAM device controller. The clock control to ach
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:54183
    • 提供者:syf
  1. DDS

    0下载:
  2. 用FPGA实现DDS数字式频率合成器(Direct Digital Synthesizer)-FPGA implementation using digital frequency synthesizer DDS (Direct Digital Synthesizer)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3640839
    • 提供者:
  1. dds

    0下载:
  2. 基于fpga的数字移相信号发生器,本文设计的数字相移信号发生器以直接数字频率合成(DDS)技术为核心,用现场可编程门阵列(FPGA)来实现频率和相位的预置和改变,并完成信号的频率和相位差显示。设计中采用的是直接数字频率合成(DDS)技术-Fpga-based Digital Signal Generator shift, the paper design of digital phase-shift signal generator for direct digital frequency sy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:16844
    • 提供者:于纲纲
« 1 2 3 4 5 67 8 9 10 11 12 »
搜珍网 www.dssz.com