CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - UART

搜索资源列表

  1. 一个简单的UART

    0下载:
  2. 实现串口基本功能,具有奇偶检验,主时钟与波特率相差16倍(Basic function, a serial port with parity check, master clock and the baud rate is 16 times clk)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:3072
    • 提供者:ribbit_wang
  1. RX_IP_Source

    0下载:
  2. 串口接收ip核,配合 nios 使用,减少cpu资源开支。(uart receive TX_IP_Source)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:12288
    • 提供者:haohmf
  1. TX_IP_Source

    0下载:
  2. 串口发送ip核,配合 nios 使用,减少资源开支。(uart transmit TX_IP_Source)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:9216
    • 提供者:haohmf
  1. uart_control

    0下载:
  2. UART接口的读写,8bit数据位,无停止位(UART interface read and write, 8bit data bits, no stop bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1024
    • 提供者:凌憬
  1. 07_uart_test

    0下载:
  2. uart通信协议的Verilog编码实现,以及完整的测试文件。(UART communication protocol Verilog encoding implementation, as well as a complete test file.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:359424
    • 提供者:声声不洗
  1. eetop.cn_uart 源码 (Verilog)

    0下载:
  2. Verilog编写的UART通信模块,比较清晰(UART model wrote by Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:9216
    • 提供者:jackey527
  1. eetop.cn_fifouart_latest.tar

    0下载:
  2. 用Verilog编写的带FOFI的UART model,比较好(FOFIUART model wrote by Verilog coding)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:175104
    • 提供者:jackey527
  1. tx_rx_fifo

    0下载:
  2. 通过串口将接收到的数据存入fifo,fifo存满后使能串口发送功能,将接收到的数据发送出去(Use fifo to realize the receive and send function of the uart. The function is no problem.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:3791872
    • 提供者:Pgaf
  1. Uart

    0下载:
  2. 单片机通过串口接收和发送数据,实现数据可视化(Single chip computer receives and sends data through serial port to realize data visualization)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:50176
    • 提供者:dsdfsd
  1. Uart

    0下载:
  2. fpga串口通信底层实现程序,可以实现串口的收发工作。(The underlying implementation of FPGA serial communication)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:16896000
    • 提供者:王壮
  1. FPGA_UART

    0下载:
  2. 代码已通过实验测试,实现串口助手在线调试(The serial debug of the serial port of UART is realized through FPGA. The result is very successful after testing.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:2193408
    • 提供者:发哥在此
  1. urat接收程序

    0下载:
  2. uart串口接收程序,实现基于Rs232传输线的数据的接收。(UART serial receiving program to realize data receiving based on Rs232 transmission line.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:3008512
    • 提供者:Thealeh
  1. uart_latest.tar

    0下载:
  2. UART的VHDL建模代码,是一个标准的IP核(UART's VHDL modeling code is a standard IP core)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:
    • 文件大小:9216
    • 提供者:scenic_lee
  1. test_uart

    0下载:
  2. 该资料包含用FPGA(EP4CE22F17型号)编写的UART通信程序,最重要的是里面含有串口波特率可调,包括一些常见的波特率。(This information includes UART communication program written by FPGA (EP4CE22F17 model), and most importantly, it contains serial port baud rate tunable, including some common baud rate
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:3358720
    • 提供者:杨雷
  1. 07_uart_test

    0下载:
  2. 利用FPGA的并行方式调试UART,与单片机的调试方式做比较(Using FPGA to debug UART in parallel, make comparison with the way of MCU debugging)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:360448
    • 提供者:棋墨黑白
  1. FULL_UART

    0下载:
  2. UART using FPGA implementation
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:434176
    • 提供者:VannGT
  1. uart_test_Verilog

    0下载:
  2. 用verilog实现了uart功能的demo工程。工程使用的IDE为“ISE Design Suite 14.7”,使用时可根据自己硬件,修改引脚配置即可。(The demo project of UART function is realized with Verilog. The IDE used in the project is "ISE Design Suite 14.7", which can be used to modify the pin configura
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:128000
    • 提供者:shaoyang_v
  1. uart_rx

    0下载:
  2. UART FPGA串口发送程序,已经调试通过,可以放心使用,谢谢,(Serial transmission program, has been debugged, can be assured to use, thank you)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:dylan025
  1. uart_working_transmit

    0下载:
  2. UART transmission vhdl code, for nexys 3 fpga board
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:2254848
    • 提供者:spiegel
  1. uart_receiver

    0下载:
  2. Uart receiver VHDL code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:296960
    • 提供者:spiegel
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 ... 50 »
搜珍网 www.dssz.com