CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - pn

搜索资源列表

  1. PN-arraycheck

    0下载:
  2. 在QuartusII运用AHDL语言,首先设计出PN发生器来产生一个11位的数据流在整个周期内有效数据有 =2047位;再设计状态机用来检测串行数据流中的序列。运用两个个计数器分别对PN码计数以及序列出现的次数计数。改变PN码结构可以作为通用数列检测器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:385952
    • 提供者:戴振华
  1. PN

    1下载:
  2. 利用vhdl语言编程实现的pn码产生.在quartus ii中通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:90014
    • 提供者:zhangtian
  1. pn

    0下载:
  2. 用Verilog语言生成7位的小m序列,产生pn码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2703
    • 提供者:楚鹤
  1. pn_generator.rar

    0下载:
  2. FPGA实现pn发生器,Verilog代码实现,另带modlesim的仿真测试文件,很有价值。,FPGA realization of pn generator, Verilog code, and the other with the simulation test modlesim documents of great value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2869
    • 提供者:胡佳
  1. PN7

    0下载:
  2. vhdl语言实现 pn码发生器 dpsk调制 以及扩频器-pn code generator vhdl language modulation and spread spectrum devices dpsk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1073
    • 提供者:kid
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. PN_code_capture_and_tracing

    0下载:
  2. 一个完整的pn码捕获与跟踪的VHDL源码,并行匹配滤波器捕获,锁相环跟踪.-A complete pn Code Acquisition and Tracking of the VHDL source code, parallel matched filter to capture, phase-locked loop tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2099
    • 提供者:王永俊
  1. pn

    0下载:
  2. 通过移位寄存器的方法产生m序列。已编译好!-Produced by the method m shift register sequence. Has been compiled!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3127
    • 提供者:坚持
  1. pn

    0下载:
  2. 基于Xilinx的ISE9.0编译的周期为63的m序列-Compiled based on Xilinx' s ISE9.0 63 m sequence of period
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:383040
    • 提供者:qs
  1. CompletethedirectsequencespreadspectrumsystemPNpre

    1下载:
  2. 完成直接序列扩频系统的伪码精确同步,并用FPGA进行实现-Complete the direct sequence spread spectrum system PN precise synchronization, and implementation with FPGA for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:31972
    • 提供者:jiajia
  1. xapp211

    0下载:
  2. ITS VHDL PROGRAM OF PN SEQUENCE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:70448
    • 提供者:sridhar
  1. 1-5

    0下载:
  2. 实现PN码,使用Verilog HDL编程实现-it can produce PN
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:118571
    • 提供者:张媛媛
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. msk_mod

    0下载:
  2. msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1276
    • 提供者:刘进
  1. vhdl

    0下载:
  2. 串并转换和PN码产生的VHDL程序 希望对刚学习VHDL语言的同学有帮助!-And the PN code string and convert VHDL program generated just want students to learn VHDL, help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ls112853
  1. PN-(2)

    0下载:
  2. 伪随机序列FPGA 通过仿真 M3-Pseudo-random sequence M3000 FPGA simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:217051
    • 提供者:wyq
  1. keilC51v7hanhua

    0下载:
  2. verilog语言编写的PN码程序 经过调试的-PN code written in verilog program after debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:722300
    • 提供者:tom
  1. verlag111

    0下载:
  2. verilog语言编写的PN码程序 经过调试的-PN code written in verilog program after debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:614221
    • 提供者:tom
  1. Copy-of-New-Microsoft-Word-Document

    0下载:
  2. pn-random code generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19530
    • 提供者:lucifer
  1. pn10

    0下载:
  2. 用verilog生成11级的pn序列,Xilinx平台(Generating 11 levels of PN sequences with Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:茉歌
« 12 »
搜珍网 www.dssz.com