CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - counter

搜索资源列表

  1. counter-

    0下载:
  2. 用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-A single chip with AT89S51 T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequency of 8 resulted in t
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:14083
    • 提供者:笑笑
  1. 4CounterMod8Comportamental

    0下载:
  2. Counter Module 8 using comportamental descr iption in VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1529647
    • 提供者:Alejandro
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. jc2_ver

    0下载:
  2. Johnson counter with verilog
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:38272
    • 提供者:Y.D. chang
  1. counter

    0下载:
  2. source code of drive for counter micro
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:5824
    • 提供者:azad
  1. counter48

    0下载:
  2. 48 bitt counter for fpga
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2304
    • 提供者:rrnair
  1. counter

    0下载:
  2. 计数器,可以重复记录计算数字。二进制计数。有重复。-Counter
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:694
    • 提供者:xu
  1. MultiFunctionCounter

    0下载:
  2. 此为我们组在学校比赛中设计的多功能计数器的系统设计文档,此多功能计数器即是测频测相器(硬件使用EPM240采样和计数,mega16取数和控制)-This is our group s multi-purpose counter system design documents in school competitions. This multi-function counter that is the frequency and phase detector(hardware using EPM2
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:464083
    • 提供者:黎鑫
  1. counter

    0下载:
  2. Source code of a up/down counter in VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:3504
    • 提供者:flamestar
  1. a_time_counter_using_verilog

    0下载:
  2. a time counter using verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:567691
    • 提供者:atula136
  1. a_bcd_counter_using_verilog

    0下载:
  2. 3 bits bcd counter using verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:441090
    • 提供者:atula136
  1. Counter

    0下载:
  2. 所谓24进制计数器,要在数码管上直观的显示0,1…..22,23等数,再归零-The so-called binary counter 24 to the digital control on the visual display 0,1 ... .. 22,23 and a few, then zero
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:122223
    • 提供者:xiejun
  1. counter

    0下载:
  2. this program illustrates the counter using the for loop.
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:338797
    • 提供者:subitha
  1. 8-jinzhi-counter

    0下载:
  2. 8进制计数器 每计数八次进一次位,vhdl语言的基础程序,对初学者很有帮助-8 binary counter into a bit of each of eight counts, vhdl language based program, very helpful for beginners
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:643
    • 提供者:zhaohong
  1. 10-jinzhi-counter

    0下载:
  2. 10进制计数器 每计数十次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Total scores of 10 binary counter has a per carry, is the basis for vhdl programming procedures used in programmable logic devices fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:638
    • 提供者:zhaohong
  1. 15-jinzhi-counter

    0下载:
  2. 15进制计数器 每计数十五次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Fifth decimal counter 15 counts each have a carry, is the basis for vhdl programming procedures, programmable logic devices used in fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:651
    • 提供者:zhaohong
  1. Frequency-Counter

    0下载:
  2. 频率计,可以精确的测量仪器的工作周期,频率,测量精确度高-Frequency, precision measuring instruments for the duty cycle, frequency, high precision measurement
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:3028
    • 提供者:wulei
  1. functional-counter-

    0下载:
  2. 这是关于多功能计数器报告,里面详细介绍了关于多能计数器的设计,硬件的实现。-This is a report on the multi-function counter, which detailed more than able to counter on the design, hardware implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:206304
    • 提供者:yhh
  1. Based-on-SPCE061A-counter

    0下载:
  2. 计数器基于凌阳SPCE061A微处理器设计,对高频信号采用测频法,提高精度,对低频信号采用测周法,可最大限度减小误差。能够接收函数信号发生器产生的信号,实现周期测量和时间间隔测量。可记忆10个测量的历史数据,实现语音报数功能且能够显示温度等多种功能。本系统经实验调试,较好地实现了预定的功能,由于采用了凌阳单片机控制,系统可靠性和性价比较高。- The designed counter adopts Sunplus SPCE061A MCU,uses frequency measurement f
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:54138
    • 提供者:kangxinlong
  1. counter

    0下载:
  2. 基于KEIL 使用于51单片机 计数器功能 用LCD1602输出 模块化设计-51 single-chip counter function LCD1602 output modular design based KEIL
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:2787
    • 提供者:杨云
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com