CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - std_logic_1164

搜索资源列表

  1. unicntr

    0下载:
  2. 通用寄存器的部分代码 LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY traffic IS PORT(clk,sm,sb:IN bit mr,my,mg,br,by,bg:OUT bit ) END traffic -part of the general purpose registers IEEE code LIBRARY USE traffic IEEE.STD_LOGIC_1164
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:137331
    • 提供者:土木文田
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. DEC_7SEG

    0下载:
  2. library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-04-04
    • 文件大小:861
    • 提供者:yu
  1. motor_control

    0下载:
  2. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_ARITH.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1000
    • 提供者:yu
  1. robot_ctl

    0下载:
  2. -- Simple Robot Control Program -------------------------------------------------------------------------- library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1531
    • 提供者:yu
  1. servo_control

    0下载:
  2. library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1074
    • 提供者:yu
  1. HammingDecoder

    0下载:
  2. -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee U
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:3754
    • 提供者:djs
  1. FIFO_design_reference_document

    0下载:
  2. FIFO设计的参考文档 Project name : Fifo -- Project descr iption : Fifo controller Unit 工程名 : FIFO.VHD 用到库文件IEEE.STD_LOGIC_1164-FIFO reference design document Project name : Fifo -- Project descr iption : Fifo controller Unit -
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:1794
    • 提供者:mhb
  1. keyboard_vhdl

    0下载:
  2. ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-29
    • 文件大小:637669
    • 提供者:arekk
  1. sy3

    0下载:
  2. 多路信号复用基带系统的建模与设计,按位同步复接并掌握四路同步复接器的VHDL设计及系统的时序仿真。-library ieee use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:208723
    • 提供者:lin
  1. sy2

    0下载:
  2. 晶振频率为4.096MHz,系统同步时钟为256KHz,每个时隙占8位; 四路支路信码各为8位,分别为: 1 1 1 0 0 1 0 1 ;1 1 0 1 1 0 0 1 ;1 0 0 1 1 1 0 1 ; 1 1 1 0 1 0 1 1 ; 复接方式采用:按位同步复接。 -library IEEE use IEEE.std_logic_1164.all use IEEE.std_logic_unsigned.all
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:186191
    • 提供者:lin
  1. hdb3

    0下载:
  2. hdb3编码源程序完整版,内含插B,插V程序,功能完整,欢迎下载-library ieee use ieee.std_logic_1164.all entity hdb3 is port(codein: in std_logic clk : in std_logic clr : in std_logic --复位信号 codeout: out std_logic_vector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1143
    • 提供者:lin
  1. std_logic_1164

    0下载:
  2. 这个包定义了vhdl标准,为设计者在使用数据类型时建立用于vhdl的互连模型。-This packages defines a standard for designers to use in describing the interconnection data types used in vhdl modeling.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5712
    • 提供者:heyan12121
  1. dff

    0下载:
  2. 用VHDL语言编写的带进位、置位、复位的D触发器,异步清零D触发器,同步清零D触发器-library ieee use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity exp7_10 is port( clk: in std_logic d: in std_logic clr: in std_logic en,s:in std_logic q: o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:716
    • 提供者:jly
  1. VHDLSaler

    0下载:
  2. 文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。-library ieee use ieee.std_logic_arith.all use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity PL_auto1 is port ( clk:in std_logic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:6175
    • 提供者:Mr zhai
  1. Cvolatile

    0下载:
  2. eee.std_logic_arith.all use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity PL_auto1 is port ( clk:in std_logic --系统时钟 set,get,sel,finish: in std_logic --设定、买、选择、完成信号 coin0,coin1: in std_logic --5角硬币、1元硬币 price,quan
  3. 所属分类:Compiler program

    • 发布日期:2017-03-26
    • 文件大小:5068
    • 提供者:Mr zhai
  1. clock

    0下载:
  2. eee.std_logic_arith.all use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity PL_auto1 is port ( clk:in std_logic --系统时钟 set,get,sel,finish: in std_logic --设定、买、选择、完成信号 coin0,coin1: in std_logic --5角硬币、1元硬币 price,quan
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:22569
    • 提供者:Mr zhai
  1. vhdl

    0下载:
  2. 4位乘法器 vhdl library IEEE use IEEE.std_logic_1164.all entity one_bit_adder is port ( A: in STD_LOGIC B: in STD_LOGIC C_in: in STD_LOGIC S: out STD_LOGIC C_out: out STD_LOGIC ) end one_bit_adder -4-bit multipl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:891
    • 提供者:陈强
  1. 12

    0下载:
  2. 4位除法器 library IEEE use IEEE.std_logic_1164.all use IEEE.std_logic_unsigned.all entity fpdiv is port ( DIVz: out STD_LOGIC A: in STD_LOGIC_VECTOR (3 downto 0) B: in STD_LOGIC_VECTOR (3 downto 0) data_out: out STD_LO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:641
    • 提供者:陈强
  1. std_logic_1164

    0下载:
  2. std_logic_1164 package
  3. 所属分类:source in ebook

    • 发布日期:2017-12-03
    • 文件大小:2008
    • 提供者:mgh
« 12 3 »
搜珍网 www.dssz.com