CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 42 43 44 45 46 4748 49 50 51 52 ... 4322 »
  1. seg_led_rtl

    0下载:
  2. 使用FPGA控制数码管,在数码管上动态的显示数字,很使用,可以直接作为其他模块的子模块,直接调用-FPGA use of digital control in the digital tube dynamic display figures that use, direct module as other sub-module, called directly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7747
    • 提供者:wpb3dm
  1. pro001_buzzer

    0下载:
  2. 使用FPGA控制蜂鸣器的程序,用Verilog HDL设计,可以是蜂鸣器发出各种不同的声音-FPGA use buzzer control procedures, using Verilog HDL design, it is the buzzer sounded different voices
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:779627
    • 提供者:wpb3dm
  1. ASIC_TFT

    0下载:
  2. 彩色TFT液晶显示控制电路设计及其ASIC实现-color TFT LCD control circuit design and ASIC implementation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42496
    • 提供者:njgwx
  1. two_d_fir

    0下载:
  2. FIR FILTER verilog code-FIR FILTER Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26834
    • 提供者:QQ
  1. fraq

    0下载:
  2. 基于VHDL语言的频率计具有高速计频,体积小的特点-based on VHDL or with the frequency or high frequency, small size characteristics
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:497130
    • 提供者:肖建华
  1. 102416FFTVHDL

    0下载:
  2. 1024点,16位FFT VHDL 程序。1024点,16位FFT VHDL 程序-1024, 16 FFT VHDL procedures. 1024, 16 FFT VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17609
    • 提供者:肖建华
  1. dds_quicklogic

    0下载:
  2. 高手写的VHDL源码,实现DDS跳频器功能 请大家多提意见-experts write VHDL source code, the frequency-hopping DDS functionality Please speak up
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25440
    • 提供者:duyi
  1. szgysj

    0下载:
  2. 工业设计-industrial design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10679
    • 提供者:苏裕
  1. serial_VHDL

    0下载:
  2. FPGA进行串口通信的程序 VHDL编写的 -FPGA for serial communication procedure prepared by the VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2723
    • 提供者:饮血病
  1. videodigitalsignalscontroller

    0下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8782
    • 提供者:yan
  1. counter&adder

    0下载:
  2. counter and adder program by vhdl. Just enjoy it!-counter and adder program by VHDL. Just enj oy it!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1670
    • 提供者:simon
  1. vhdl_fifo

    0下载:
  2. 用vhdl编写的fifo队列.可以在maxplus2平台上使用.-using VHDL fifo prepared by the cohort. Maxplus2 platform can be used.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:309997
    • 提供者:蔡庆重
« 1 2 ... 42 43 44 45 46 4748 49 50 51 52 ... 4322 »
搜珍网 www.dssz.com