CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 84 85 86 87 88 8990 91 92 93 94 ... 4322 »
  1. WM8731

    1下载:
  2. 通过 fpga 控制音频编解码芯片 wm8731 产生一个 1khz 的正弦波,接上 AC620 板上的耳机接口,试听此正弦波。(注意,声音比较大,如果为了保险,可以使用 带音量控制的音响,也可以用示波器观察)。(The audio codec chip wm8731 is controlled by FPGA to produce a 1 kHz sinusoidal wave connected with AC620 Listen to this sinusoidal wave wit
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-08
    • 文件大小:5483520
    • 提供者:风断了想念
  1. TR_ctrl

    1下载:
  2. 实现串口1转四通信,同时实现片内存储修正系数,上电补偿
  3. 所属分类:VHDL编程

  1. 基于Basys3的贪吃蛇小游戏

    1下载:
  2. 基于Basy3的贪吃蛇小游戏,实现了相关功能。(Snake Eating Game Based on Basy3)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-22
    • 文件大小:3743744
    • 提供者:PeterScholze
  1. 平方环VHDL实现

    1下载:
  2. 数字平方环电路,实现了从BPSK信号中提取相干载波的功能,简单易行,便于实现,并对其进行了数学推导和建模仿真,具有良好的实用价值。平方环以其电路结构简单而得到了广泛应用。但在平方环电路的设计中,由于NCO(或VCO)工作在2ωc频率上,当环路锁定后,其NCO(或VCO)的输出需经过二分频才能得到所需载波。而二分频电路在实现过程中,特别是在对NCO进行数字分频时,用FPGA实现太耗资源。
  3. 所属分类:VHDL编程

  1. labview tcp udp usb通讯源码

    1下载:
  2. 基于虚拟仪器语言labview的网络通讯tcp udp,串口通讯 usb的源码
  3. 所属分类:VHDL编程

  1. jesd204_0_ex

    1下载:
  2. jesd204b接收部分程序和带仿真历程(Jesd204b receiving part program and simulation process)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-03-22
    • 文件大小:27972608
    • 提供者:willing_bank
  1. 前面板装饰上色

    1下载:
  2. 本程序为版本labview2013,获取前面板引用句柄再获取装饰组,循环设置装饰颜色
  3. 所属分类:VHDL编程

  1. LabVIEW 打卡出勤系统

    1下载:
  2. 使用LabVIEW 面向对象对象语言,并结合actor framework 编写的打卡出勤系统
  3. 所属分类:VHDL编程

    • 发布日期:2019-02-21
    • 文件大小:80738791
    • 提供者:molata
  1. 基于FPGA自治混沌网络量化真随机数代码

    1下载:
  2. 基于FPGA自治布尔混沌网络,量化真随机数。可通过例化多组网络,产生高带宽真随机数,根据FPGA性能,自重随机数带宽达数G.
  3. 所属分类:VHDL编程

  1. Hardware-CNN-master

    1下载:
  2. Convolutional neural network code for fpga
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-09-27
    • 文件大小:300032
    • 提供者:anand97
  1. 5_fir_tran

    1下载:
  2. 经典的verilog语言实现转置型FIR滤波器的代码(Code of Inverted FIR Filter Implemented by Classical Verilog Language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-10-09
    • 文件大小:266240
    • 提供者:ThBryan
  1. 1_Carm

    1下载:
  2. 经典的OV5642的verilog驱动程序(Verilog Driver of Classic OV5642)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-22
    • 文件大小:175104
    • 提供者:ThBryan
« 1 2 ... 84 85 86 87 88 8990 91 92 93 94 ... 4322 »
搜珍网 www.dssz.com