CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .68 .69 .70 .71 .72 4273.74 .75 .76 .77 .78 ... 4322 »
  1. VHDcf_fft_1024_8

    0下载:
  2. 1024点8位FFT的VHDL语言实现方式,大家可以参考一下。-1024-point FFT eight VHDL way, we can take a look.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12761
    • 提供者:郭子荣
  1. VERcf_fft_1024_8

    0下载:
  2. 1024点8位FFT的Verilog语言实现-1024-point FFT eight Verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11831
    • 提供者:郭子荣
  1. cf_fft_1024_16

    0下载:
  2. 16位1024点FFT的VHDL语言实现-16 1024-point FFT VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17605
    • 提供者:郭子荣
  1. FPGA_SUM99_VHDL_SOURCE

    0下载:
  2. 基于FPGA的直接数字合成器的设计与分析的代码程序,代码格式为VHDL-FPGA-based Direct Digital Synthesis Design and Analysis of the code procedures for VHDL code format
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5398
    • 提供者:莫汉伟
  1. 8051core-Verilog

    0下载:
  2. 8051的源代码-8051 source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:104724
    • 提供者: 飞鹰
  1. pic100d

    0下载:
  2. PIC源代码很不错,相信我没错的吆,快下载吧-PIC source code quite well, and I believe correctly, shout, the faster download it
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:72506
    • 提供者: 飞鹰
  1. EvsStore

    0下载:
  2. 用VHDL编写的由FPGA控制SDRAM的存储控制程序-VHDL prepared by the FPGA control SDRAM memory control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:924
    • 提供者:杨承凯
  1. cmbwordtrig

    0下载:
  2. 用于逻辑分析仪的组合字触发程序,带四级触发字和一个屏蔽字,当满足触发条件是输出高电平,复位后清零-for logic analyzer word combinations trigger procedures, with four characters and a trigger word shielding, When the trigger conditions are met output to I, after reset, reset
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1040
    • 提供者:杨承凯
  1. shuzipinlvji

    1下载:
  2. 四位十进制数码显示、量程自动转换的数字频率计。-four decimal digital display, automatic conversion range of frequency meter.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6430
    • 提供者:文文
  1. CPSK

    0下载:
  2. CPSK调治程序 -CPSK modulating procedures CPSK modulating procedures CPSK modulating procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5535
    • 提供者:万金油
  1. bahe

    0下载:
  2. 设计四 拔河游戏机 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。 教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:292697
    • 提供者:万金油
  1. fujieqiall

    0下载:
  2. 用FPGA实现数字复接?肍PGA实现数字复接-FPGA digital multiplexer with FPGA digital multiplexer
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:206915
    • 提供者:万金油
« 1 2 ... .68 .69 .70 .71 .72 4273.74 .75 .76 .77 .78 ... 4322 »
搜珍网 www.dssz.com