CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. BCD_adder

    0下载:
  2. 基于FPGA的二进制加法器,简单易懂,适合初学者理解和接受。-Binary adder based on FPGA, simple, suitable for beginners to understand and accept it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:736550
    • 提供者:龙树东
  1. Chapter15-Adder

    0下载:
  2. 书籍《精通Verilog HDL语言编程》中第15章的程序实例代码,是关于常用加法器的设计的,对于初学者有一定的帮助-Books "Proficient in Verilog HDL language programming" in Chapter 15 of the procedure code, common adder design have some help for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1894
    • 提供者:vb
  1. A-4-bit-variable-modulus-counter

    1下载:
  2. 用Verilog HDL设计一个4bit变模计数器和一个5bit二进制加法器。在4bit输入cipher的控制下,实现同步模5、模8、模10、模12及用任务调用语句实现的5bit二进制加法器,计数器具有同步清零和暂停计数的功能。主频为50MHz,要求显示频率为1Hz。-A 4-bit variable modulus counter and a 5bit of binary adder using Verilog HDL design. 4bit input under the control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:1733
    • 提供者:赵玉著
  1. UDP

    0下载:
  2. 这是用Verilog HDL编写的程序 利用UDP方法实现四位加法器-This is written in Verilog HDL programs Use UDP method four adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:43572
    • 提供者:姚远
  1. addr_rtl

    0下载:
  2. 利用Verilog HDL编写程序 利用assign语句实现加法器-Use Verilog HDL to write programs Using the assign statement adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:32714
    • 提供者:姚远
  1. jiafaqi

    0下载:
  2. 加法器 使用java代码编写简单的加法运算器 适合初学者-Adder suitable for beginners to use Java code to write simple adder
  3. 所属分类:JavaScript

    • 发布日期:2017-11-04
    • 文件大小:696
    • 提供者:和小夷
  1. lab1

    0下载:
  2. 一个21位先行进位加法器的代码 交作业和毕设必备,自己写的,不完全地方请指出 -A 21-bit carry-lookahead adder code homework and must complete set up, wrote it myself, not exactly place please indicate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:3194
    • 提供者:lu
  1. h2

    0下载:
  2. 加法器 输入信号: 输入数实部Ra,Rb,Rc,Rd,虚部Ia,Ib,Ic,Id的数据宽度均为19位;每次向加法器阵列只能送一个操作数,包括实数R(19bit)、虚部I(19bit);操作数据a、c、b、d的顺序连续送入,在加法器列中要进行串并变换。 CP脉冲。 输出信号: 输出数实部Ra’,Rb’,Rc’,Rd’,虚部Ia’,Ib’,Ic’,Id’的数据宽度均为21位。-Adder input signal: the real part of the input numbe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:23903
    • 提供者:郝宁
  1. project3_1

    0下载:
  2. 逐次进位加法器,HDl verilog语言编写,能在DE2上运行-Successive carry adder, HDl verilog language, able to run on the DE2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:269363
    • 提供者:董凯明
  1. jiafaqi

    0下载:
  2. 用Java实现的加法器,可以打开直接使用,方便,简洁-Java implementation of the adder available
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-01
    • 文件大小:5613
    • 提供者:tian
  1. ADDER

    0下载:
  2. 51单片机,加法器,包括键码扫描、储存、LED显示,有退格修改功能-51, adder, including key code scanning, storage, LED display, there is the backspace editing features
  3. 所属分类:assembly language

    • 发布日期:2017-12-05
    • 文件大小:1744
    • 提供者:lau kaywing
  1. jianyijiafaqi

    0下载:
  2. 采用MAX+PlusII工具编辑设计的Verilog程序设计的简易加法器。可实现10以内的加法计算-Using MAX+PlusII tools to edit the design of Verilog design of a simple adder. Can be realized within 10 addition calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1090397
    • 提供者:阿凡提
  1. JAVA--Adder

    0下载:
  2. 可用于数字计算的小型加法器 使用JAVA语言编写-Can be used for digital computing small adder using JAVA language
  3. 所属分类:Java Develop

    • 发布日期:2017-11-14
    • 文件大小:756
    • 提供者:王楠
  1. add4_fast_carry

    0下载:
  2. 一个4位超前几位加法器的设计,在modelsim中仿真通过。-This is a carry lookahead adder design, which is simulated successfully in modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:70265
    • 提供者:zhouwen
  1. ADDER8B

    0下载:
  2. 用VHDL描述了八位加法器,并通过波形仿真验证其正确性-Described in VHDL eight adder and verify its correctness by means of simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:292037
    • 提供者:zhugege
  1. VHDL_book2

    1下载:
  2. add4a:4位加法器的设计 add8a:8位加法器的设计 subtract:4位减法器的设计 addsub: 4位加法器/减法器的设计 shift4:移位寄存器的设计 mult4:乘法器设计 div8:除法器设计 alu4:算术逻辑单元ALU设计-add4a: 4-bit adder design add8a: 8 bit adder design subtract: 4-bit subtraction Design addsub: 4-bit ad
  3. 所属分类:Other Embeded program

    • 发布日期:2014-11-18
    • 文件大小:3258368
    • 提供者:贾诩
  1. vhdl1

    0下载:
  2. 该程序实现了运用VHDL实现数字音频滤波,同时在FIR 滤波过程中减少了加法器和乘法器使用数量,大大减小了内存-The program implements the use of VHDL digital audio filtering, while in the FIR filtering process to reduce the number of adders and multipliers used, which greatly reduces the memory
  3. 所属分类:Communication

    • 发布日期:2017-11-19
    • 文件大小:1374439
    • 提供者:张彬
  1. ripple_adder8

    0下载:
  2. 这是一个简单的8位行波进位加法器的verilog代码,可以综合。-The code implement ripple_adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:32056
    • 提供者:黄春晓
  1. EX8

    0下载:
  2. 累计进位加法器和超前进位加法器,数字逻辑课程作业-Cumulative carry lookahead adder and adder, digital logic course work
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:200445
    • 提供者:silverymoon
  1. carry_chain_adder

    0下载:
  2. 第15章 常用加法器设计 样例程序-Chapter 15 Common Adder sample program
  3. 所属分类:Software Testing

    • 发布日期:2017-12-02
    • 文件大小:2105
    • 提供者:eee
« 1 2 ... 32 33 34 35 36 3738 39 40 41 42 ... 50 »
搜珍网 www.dssz.com