CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. 8-lights-the-controller-design

    0下载:
  2. 八路彩灯控制器的设计.数字钟的主体是计数器,它记录并显示接受到的秒脉冲个数,其中秒和分为模 60 计数器,小时为模 24 计数器,分别产生 2 位 BCD 码-8 lights the controller design.A digital clock are the subject of counter, it recorded and display to receive the number of second pulse, including seconds and divided in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:75362
    • 提供者:chuchu
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. pin-lv-ji

    0下载:
  2. 设计的是一个数字频率计,通过八个七段数码管显示频率值。系统时钟选择的50M的时钟,闸门时间为1s(通过对系统时钟进行分频得到),在闸门为高电平期间,对输入的频率进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过2秒刷新一次。被测频率通过一个拨动开关来选择是使用系统中的数字时钟源模块的时钟信号还是从外部通过系统的输入输出模块的输入端输入一个数字信号进行频率测量。当拨动开关为高电平时,测量从外部输入的数字信号,否则测量系统数字时钟信号模块的数字信号。(附详细PDF文档介
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:678100
    • 提供者:刘渝
  1. VHDL-LED

    0下载:
  2. 设计一个带计数使能、异步复位、带进位输出的增1六位二进制计数器,计数结果由共阴极七段数码管显示-Design a counter with enable and asynchronous reset, brought by a six-bit output of the binary counter, counting the results from the common cathode seven segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:59172
    • 提供者:ds18b20
  1. VHDL_counter

    0下载:
  2. 实验要求:用VHDL语言设计一个16进制加减计数器,计数方向可以由外界输入信号控制,带有清零和置位,输出除了包括计数值外还应包括进位和借位。-Design a VHDL counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:513288
    • 提供者:马路
  1. RILI

    0下载:
  2. ①基本要求:利用定时计数器,设计一个电子时钟,可以先编写显示子程序。从左到右依次显示时分秒。 ②提高要求:1、加入时间调整程序,使用两个或三个按钮,调节当前的时间。类似平常使用的电子表,可以让正在调整的位闪烁显示。 2、加入时间调整程序,使用两个或三个按钮,调节当前的时间。类似平常使用的电子表。可以让正在调整的位闪烁显示。 3、可以加入日历的功能。-design a calender of 8051 by C
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:5195
    • 提供者:马路
  1. report_of_calender

    0下载:
  2. 基本要求:利用定时计数器,设计一个电子时钟,可以先编写显示子程序。从左到右依次显示时分秒。 提高要求:1、加入时间调整程序,使用两个或三个按钮,调节当前的时间。类似平常使用的电子表,可以让正在调整的位闪烁显示。 2、加入时间调整程序,使用两个或三个按钮,调节当前的时间。类似平常使用的电子表。可以让正在调整的位闪烁显示。 3、可以加入日历的功能。-report of a calender of 8051 by C
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:167212
    • 提供者:马路
  1. strcpy

    0下载:
  2. 设计一函数StringCopy实现字符串str1拷贝到str2中,(即实现strcpy功能)要求用指针实现,不能用计数器。-Design a function StringCopy realize str1 string copied to the str2, (i.e. realize strcpy function) require a pointer realize, cannot use the counter
  3. 所属分类:File Operate

    • 发布日期:2017-04-10
    • 文件大小:607
    • 提供者:张瑞明
  1. VHDL-the-count

    0下载:
  2. 利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数-Use of VHDL hardware descr iption language design a 0 ~ 9999 addition counter. According to a certain frequency of the trigger The clock, counter add count, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:648225
    • 提供者:sunhuiping
  1. ll

    0下载:
  2. 电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本文阐述了用数字电路设计了一个简单的数字频率计的过程。-Electronic counter measuring frequency in two ways: one is the direct frequency measurement method, that is, in a certain gate ti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:439224
    • 提供者:媛媛
  1. counter

    0下载:
  2. 停车场计数器的设计,分别计数进入停车场的车辆数目和离开停车场的车辆数目。- The design of the parking lot counter, into the parking lot were counting the number of vehicles and leaving the parking lot number of vehicles.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:383207
    • 提供者:春霞
  1. zong

    0下载:
  2. 利用keil实现计数器的设计。很实用,很有巧。-keil Ckeilkeilkeilkeilkeilkeilkeil
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:19101
    • 提供者:王王
  1. counter

    0下载:
  2. 计数器VHDL编程代码,采用自顶向下的设计方法编写程序-Counter VHDL programming code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:204719
    • 提供者:张双锋
  1. 3-vhdl

    0下载:
  2. VHDL实验 4位可逆计数器的设计与实现-4 reversible counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:629
    • 提供者:天行者
  1. cnt1000

    0下载:
  2. 一千的计数器vhdl的语言设计,程序简单易懂,易于初学者掌握,希望给大家带来方便-A thousand counter vhdl language design, the program is simple and easy to understand, easy for beginners to master, I hope to bring convenience
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:600
    • 提供者:王龙飞
  1. SimpleProcessor

    0下载:
  2. 一个简单处理器的设计 包含了一定熟练的寄存器、一个选择器、一个加法/减法器单元、一个计数器和一个控制单元-The design of a simple processor contains a certain skilled register and a selector, an addition/subtraction unit, a counter and a control unit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1019679
    • 提供者:jake
  1. VHDL-NoteTabs-

    0下载:
  2. 利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5038
    • 提供者:JACK
  1. danpianji

    0下载:
  2. 通过单片机的定时器/计数器定时和计数原理,设计简单的电子秒表和闹钟的计时器系统。-Microcontroller timer/counter, timing and counting principles, the design of simple electronic stopwatch, timer and alarm system.
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:769717
    • 提供者:weiyaming
  1. Timers

    0下载:
  2. 利用8051系列单片机,设计的计数器代码。包括8位计数器,16位计数器,已经带溢出和重载的计数器。-For 8051MCP design counter code. Including eight bit timer, 16 bit timer, a reload timer and ext timer.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:14063
    • 提供者:林新
  1. Lab10_shift_register_4b

    1下载:
  2. 设计一个能够递增和递减的8位双向循环计数器. (1)采用异步复位,复位后从第一个有效时钟的上跳沿开始计数;如果此时 dir=1 ,则递增计数,否则, 递减计数。 (2)输出 count 为 8 位; (3)对电路进行全面仿真。 (4)设计模块名为: counter8b_updown(count, clk, reset, dir) 测试平台的模块名为: tb_counter8b_updown() -The design of an incremen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1275
    • 提供者:辛璃
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 ... 32 »
搜珍网 www.dssz.com