CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 进制 计数器

搜索资源列表

  1. cnt16

    0下载:
  2. 含同步置零异步预置数功能的16进制计数器的VHDL实现,程序尽量简化,无冗余-16 counter VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:269056
    • 提供者:邱陈辉
  1. cntm60v

    0下载:
  2. 基于VHDL的60进制计数器代码,可以实现六十进制计数-60 binary counter based on the VHDL code can be achieved sexagesimal counting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:317933
    • 提供者:包东生
  1. miaobiao

    0下载:
  2. 秒表应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。 秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为B
  3. 所属分类:Com Port

    • 发布日期:2017-11-09
    • 文件大小:1705
    • 提供者:范增
  1. 6counter

    0下载:
  2. 六进制计数器,输入必需是二进制数.用555定时器来产生1HZ的信号脉冲,作为CP的输入信号-Hex counter, enter the required binary number. 1HZ signal pulse 555 timer to generate the input signal as the CP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:38706
    • 提供者:黄玲
  1. scr

    0下载:
  2. 60进制计数器同步置位30异步复位 modelsim仿真代码含激励 自己写的 可用 仅供参考入门-60 binary counter 30 the asynchronous reset modelsim simulation code containing motivate yourself to write synchronization set can be used for reference only entry
  3. 所属分类:VHDL-FPGA-Verilog

  1. lkl

    0下载:
  2. 用门电路搭起来的13进制计数器,在7的时候有一个灯显示,初次提交,不对不处请指教-13 binary counter, gate ride up at 7 when a light display, the initial submission, please enlighten wrong not at
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:290978
    • 提供者:luhao
  1. Counter10

    0下载:
  2. 在quartus 9.1软件上用verilog语言编写的10进制计数器程序-The Verilog language quartus 9.1 software 10 binary counter program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:315466
    • 提供者:黄灼泉
  1. EDA-xiti

    0下载:
  2. 由12进制和60进制计数器组成的时钟电路。-12 229 and 60 binary counter clock circuit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:438830
    • 提供者:rongliang
  1. adder

    0下载:
  2. 通过Verlog编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入 -By Verlog programming, to achieve a synchronous binary counter twenty-four, requires an asynchronous clear terminal, a clock pulse input
  3. 所属分类:MPI

    • 发布日期:2017-11-21
    • 文件大小:27872
    • 提供者:klas123
  1. cnt4

    0下载:
  2. 4位2进制计数器,可以灵活组装成任意位数的2进制计数器-4 binary counter, can be flexibly assembled into arbitrary digit binary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:583
    • 提供者:jiasdhaf
  1. counter10

    0下载:
  2. verilog编写的10进制计数器,并且功能仿真正确。软件为quartus II 11.0,和Modelsim-verilog prepared 10 binary counter, and functional simulation is correct. Software quartus II 11.0, and Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:127803
    • 提供者:任留阳
  1. lqz3

    0下载:
  2. 这个程序是带置位的同步可逆(加1或减1)5进制计数器-This procedure is reversible with synchronous set (plus one or minus one) 5 binary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:535484
    • 提供者:李求知
  1. EDA-experimental-guide-book

    0下载:
  2. 利用QUARTUS II 8.1软件进行简单的EDA设计。该实验指导书原理阐述清楚,内容详尽,实验过程描述清楚,每一个实验步骤都有具体的截图。该实验指导书包括四个基本实验:实验1 QUARTUS II 8.1软件的使用;实验2 图形法设计24进制计数器;实验3 60进制计数器;实验4 简易数字钟。-Use QUARTUS II 8.1 software for simple EDA design. The experiment instructions Rationale clear, deta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2539204
    • 提供者:xiaoyezi
  1. CNT10

    0下载:
  2. 通过Quartus II 软件,VHDL语言实现10进制计数器-Achieve 10 binary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1349225
    • 提供者:旭旺
  1. 100hexadecimalcounter

    0下载:
  2. 用vhdl对GAL22V10进行编程,实现100进制计数器-Using VHDL programming on GAL22V10, 100 hexadecimal counter
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2668
    • 提供者:icsat
  1. counter60

    0下载:
  2. ise环境下用hdl语言编写的60进制计数器,已调试通过-60 binary counter
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:96125
    • 提供者:ling
  1. VHDL-code

    0下载:
  2. 使用VHDL语言进行门电路,优先编码器,译码器,各进制计数器,数码管显示的编写,在QUARTUS ii上模拟可用-Gates using VHDL language, priority encoder, decoder, each binary counter, write digital display, analogue available on QUARTUS ii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1246
    • 提供者:lucy
  1. shuzizhong

    0下载:
  2. 数字钟,校时较分,显示,用元件例化写的vhdl文件,两个24进制,1个60进制计数器-Digital clock, when the school over the points, show cases with elements of writing vhdl file, two 24-band, a 60-ary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:468353
    • 提供者:范天恩
  1. CNT10

    0下载:
  2. 用VHDL编写的10进制计数器,教学实例内容,在Quartus II 8.1下编译成功。-Using VHDL 10 binary counter, teaching examples content in Quartus II 8.1 compiled successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:128949
    • 提供者:泠血
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
« 1 2 3 4 5 67 8 9 10 11 »
搜珍网 www.dssz.com