CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog dds

搜索资源列表

  1. verilog_sine-wave-generator

    0下载:
  2. verilog语言书写的基于DDS相频累加器的正弦波发生器-verilog language of the sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13215
    • 提供者:任健铭
  1. verilog_dds

    0下载:
  2. verilog实现dds,用于FPGA产生正弦波,适用于Cyclone 2系列-verilog achieve dds, FPGA is used to generate the sine wave, in the Cyclone Series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16790004
    • 提供者:sunlin
  1. address_gen

    0下载:
  2. 基于FPGA使用Verilog语言构成的DDS信号发生器-DDS signal generator based on FPGA using Verilog language constitutes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:459382
    • 提供者:gaoyang
  1. AD9854verilog

    1下载:
  2. verilog 编写的AD9854配置代码 通过状态机转换来配置AD9854-CONGIURE the ad9854 dds
  3. 所属分类:Other systems

    • 发布日期:2015-01-18
    • 文件大小:713728
    • 提供者:212
  1. LSY_wave

    0下载:
  2. 比赛时写的李萨如波形发生器的代码,用verilog写的,里面集成数据采集和DDS波形发生。-Game when writing the the Lissajous waveform generator code, written in verilog the inside integrated data acquisition and DDS waveform generation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:7559942
    • 提供者:吕俊
  1. Pro_19

    0下载:
  2. Fpga,DDS,PLL,rom(正弦波)(f<13MHz,需要滤波)(Verilog)-Fpga, DDS, PLL, rom
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:630526
    • 提供者:夏九星
  1. Regtangle_wave_DDS

    0下载:
  2. 利用VERILOG编写的DDS产生方波的程序-Using VERILOG written DDS program produce a square wave
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-13
    • 文件大小:1925703
    • 提供者:lz
  1. Sender

    4下载:
  2. 直序扩频通信发送部分的源代码,用verilog编的,包括信源模块、扩频模块、极性变换模块和DDS调制模块-Direct sequence spread spectrum communication sent part of the source code, compiled with verilog source modules, spread spectrum modules, polarity transform module and DDS modulation module
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-11
    • 文件大小:13891214
    • 提供者:侯金晓
  1. dds_mul

    0下载:
  2. 简单的多周期dds的verilog编程,出来一个正弦波,可任意改变频率字-Simple multi-cycle dds verilog programming, out of a sine wave, the frequency can be arbitrarily changed words
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:4068949
    • 提供者:shanshan
  1. ad9850

    2下载:
  2. 介绍了用FPGA控制DDS产生任意频率范围之内的可调制正弦波,13位BPSK,ASK等。控制字由串口写入。-verilog control AD9850 to get psk ask
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:1415348
    • 提供者:chen
  1. qam_64

    0下载:
  2. Verilog语言下QAM调制的DDS实现-The QAM Modulation DDS achieve
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:1057
    • 提供者:王哲
  1. No.2DDS

    0下载:
  2. 用Verilog HDL实现DDS信号发生器。-DDS signal generator using Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:5027858
    • 提供者:Frank Chen
  1. 5-15

    0下载:
  2. 用verilog语言实现基于DDS技术的余弦信号发生器,其输出位宽为16比特-Verilog language cosine signal generator based on DDS technology, the output bit width is 16 bits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:7504
    • 提供者:张山
  1. signal-generator

    0下载:
  2. Design of DDS signal generator based on VHDL+FPGA, has been through the adjustable, can be directly used, simulation -DDS signal generator circuit design, Verilog source code, can be directly used, simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2070174
    • 提供者:李静璐
  1. dds_work

    0下载:
  2. verilog语言编写,在Quartus II里仿真DDS的产生,包括所有仿真生成的相关文件--verilog language in the Quartus II DDS in the generation of simulation, including all documents generated by the simulation,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:5081232
    • 提供者:郑鹏岩
  1. dds_double_new

    1下载:
  2. FPGA用verilog语言编写的 dds程序,两路输出,频率可调,相位可调,输出波形可调-FPGA using verilog language dds program, two outputs, adjustable frequency, phase adjustable, adjustable output waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4618936
    • 提供者:李巍
  1. DDS_2013_7_7_updata_past_v0.1

    0下载:
  2. DDS verilog,自己写的,验证通过!-DDS verilog made by myself,and test past!
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4504695
    • 提供者:paul
  1. sss

    1下载:
  2. 使用Verilog语言编写源代码.调用一些基本的IP核,如DCM模块、DDS模块ChipScope模块、乘法器模块等来实现调制.最后通过编程并利用FPGA板子实现AM、DBS、SSB的调制。-Using Verilog language source code. Invoke some basic IP cores, such as DCM module, DDS module ChipScope modules, multiplier module to achieve modulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-16
    • 文件大小:1825792
    • 提供者:Blus
  1. module-counter8

    0下载:
  2. 用verilog实现8为计数器频率范围20-80kHz,根据DDS原理来一个时钟计数器记一下,n=n+1,根据公式fout=(fc÷x)÷2,fout=80 fc=320,所以n≥2时,再取反,又由公式 fout=(k.fc)÷2^n,k=50hz,fout=80khz,fc=320,所以数据的位宽n≥7。 设计要求两路方波信号的相位差在0-360゜可调,可以根据延时来实现。具体的-8 is realized with verilog counter frequency range 20-8
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:24576
    • 提供者:倪飞
  1. Codes-and-Reports

    0下载:
  2. Verilog Source code for arbitrary waveform generator- simple DDS algorithm codes run on Xilinx Spartan-3E fpga to show output on dac pin. Please see the included report. its really simple to implement. all source code is given.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10628885
    • 提供者:imranity
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com