CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - figure

搜索资源列表

  1. carslight

    0下载:
  2. 输入信号:左转弯传感器LH,右转弯传感器RH和紧急制动或慢行传感器JMH,另外,汽车尾灯主要是给后面行使汽车的司机注意。为了使尾灯的光信号更明显,采用亮灭交替的闪烁信号,其闪烁周期为2秒,即尾灯亮1秒,灭1秒,再亮1秒…。在图9-21中设置了一个1秒时钟的输入信号CP。 输出信号:输出共设两个,左面一个尾灯,右面一个尾灯,既左转弯时指示灯LD和右转弯时指示灯RD。-input signal : LH sensor made a left turn, Peccant RH sens
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2093
    • 提供者:li
  1. D_Clock

    0下载:
  2. 数字钟的主要功能有年月日时分秒的显示输出功能和对日期及时间进行设置的功能,还可以有整点报时等功能。设计数字钟的核心问题是时钟日期的自动转换功能。即自动识别不同月份的天数的控制。据此可以设计一个如图1所示结构的数字钟,该数字钟包括校时模块、时分秒计时模块、年月日模块、和输出选择模块。-digital clock is the main function Minutes date when the output function and the date and time set for the f
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:381108
    • 提供者:送水的
  1. double_mux4_1

    0下载:
  2. 设计一个双四选一的数据选择器电路 设计要求: (1)双四选一的数据选择器的电路框图如图3.2.3所示,试写出设计块对其逻辑功能进行描述。 -Choose a design of a dual quad data selector circuit design requirements: (1) a double four selected data selector circuit diagram shown in Figure 3.2.3, try to write the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:132797
    • 提供者:mowensui
  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. DUC.rar

    3下载:
  2. 基于XILINX ISE下的数字上变频设计,其中用到了XILINX的乘法IP。已经通过工程实用,好用。,XILINX ISE based on frequency of figure design, use one of the XILINX multiplication IP. Has passed the project practical, easy to use.
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-03
    • 文件大小:18513
    • 提供者:咚咚
  1. 除法器的设计本文所采用的除法原理

    0下载:
  2. 除法器的设计本文所采用的除法原理是:对于八位无符号被除数A,先对A转换成高八位是0低八位是A的数C,在时钟脉冲的每个上升沿C 向左移动一位,最后一位补零,同时判断C的高八位是否大于除数B,如是则C的高八位减去B,同时进行移位操作,将C的第二位置1。否则,继续移位操作。经过八个周期后,所得到的C的高八位为余数,第八位为商。从图(1)可清楚地看出此除法器的工作原理。此除法器主要包括比较器、减法器、移位器、控制器等模块。-Divider design used in this paper, the p
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-25
    • 文件大小:4286
    • 提供者:老毕
  1. array_multiplier

    0下载:
  2. 4X4阵列乘法器,图可以按程序画看看,可以改进-4X4 array multiplier, see Figure can draw according to the procedure can improve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:127659
    • 提供者:abby
  1. divider

    0下载:
  2. 该模块为分频器,将1KHZ的时钟频率分频成每分钟一次的时钟频率 事实上,该源码可以实现任意整数的分频,主要让N的值设置好相应的数字-The module for the divider, the clock frequency 1KHz frequency per minute into the first clock frequency In fact, the source can be any integer frequency, mainly to allow the value o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1086
    • 提供者:Tomy Lee
  1. FSCQ1565RP

    0下载:
  2. FSCQ1565RP J TAG驱动算法是MCU 以J TAG模式配置FPGA 的关 键。算法调用SVF 配置文件,解释其中的语法规范,生成严 格的TAP 总线时序,驱动MCU 的通用I/ O 管脚来完成对 FPGA 的配置。其中TAP 时序是算法设计和实现调试的一 个主要方面,时序关系[ 2 ]如图3 所示。-FSCQ1565RPJ TAG-driven algorithm is MCU to configure the FPGA model J TAG key. Algo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1144382
    • 提供者:xujj
  1. project_01_Booth_Algorithm

    0下载:
  2. Booth Algorithm 是一種較簡潔的有號數字相乘的方法,即利用位元掃描方式,跳過00、11以增快速度-Booth Algorithm is a relatively simple figure has multiplied its way, that is, using bit scan mode, skip to 00,11 by fast
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:99125
    • 提供者:xoso
  1. mux21a

    0下载:
  2. 2选1多路选择器的VHDL完整描述,即可以直接综合出实现相应功能的逻辑电路及其功能器件。图6-1是此描述对应的逻辑图或者器件图-2 election more than one MUX complete descr iption of the VHDL, which can be directly integrated to achieve the corresponding function logic devices and their functions. Figure 6-1 is th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3020
    • 提供者:刘阳
  1. SCHK

    0下载:
  2. 实验图1是一含计数使能、异步复位和计数值并行预置功能4位加法计数器,例1是其VHDL描述。由实验图1所示,图中间是4位锁存器;rst是异步清信号,高电平有效;clk是锁存信号;-Figure 1 is a test with count enable, asynchronous reset and preset features include numerical parallel adder four counters, Example 1 is described in VHDL. By e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3329
    • 提供者:刘阳
  1. bbb

    0下载:
  2. 基于VHDL的数字竞赛抢答器的设计及其仿真-Based on the figure competition Answer VHDL Design and Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:87233
    • 提供者:孙天雨
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. statemachine

    0下载:
  2. 基于状态图的光电编码器4倍频vhdl程序,输入相位差90度的两相,输出倍频和方向信号-Based on the state of the optical encoder Figure 4 multiplier vhdl procedure, enter a 90-degree phase difference of two-phase, frequency and direction of the output signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:865
    • 提供者:pudn
  1. seven

    0下载:
  2. 基于FPGA图形方法的七人抢答器-FPGA-based graphical methods of Seven Figure Answer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17708
    • 提供者:david
  1. InterefacingPS2Keyboard

    0下载:
  2. FPGA/keyboard interface is shown in figure 1. When the FPGA “reads” the Data or Clock inputs both PS2Data_out and PS2Clk_out are kept low which puts the tri-state buffers in high impedance mode. When the FPGA "writes" a logic 0 on an output, the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:432214
    • 提供者:qweqweqwe
  1. calculator

    0下载:
  2. 课设一个,又臭又长,是一个用verilog编写的计算器,对应革新科技的某个sopc开发平台,键盘会扫描,七段二极管会译码且是并行输出,上传的是整个工程,在该开发平台上基本正常,主程序段编写的较为幼稚,希望大家多多扔玉。注:主程序段预计做八位计算器,后来因为实验平台只有六个数码管无奈之下后两位没接,主程序中的ac有问题,在开发平台上没效果,压缩包里的图是主程序在quartus下的仿真图,开发环境是quartus,不知应选哪项。最后:初次上传欢迎指正 -Set up a class, but als
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10809099
    • 提供者:raven
  1. mimasuo

    0下载:
  2. 设计一个具有较高安全性和较低成本的通用电子密码锁,其具体功能要求如下:(1) 数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。(2) 数码清除:按下此键可清除前面所有的输入值,清除成为“0000”。(3) 密码更改:按下此键时会将目前的数字设定成新的密码。(4) 激活电锁:按下此键可将密码锁上锁。(5) 解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。 -Design of a high security
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2290
    • 提供者:kxsh
  1. Traffic_Light_Controller

    0下载:
  2. 该控制器在设计上控制了一个繁忙的高速公路(高速路的红绿灯) 相交一岔路(SRD)等具有相对较轻的交通负荷。图1显示 在交通灯的位置。在十字路口传感器检测汽车的存在 在公路上和岔路。该图意味着,无论是公路和副作用 道路提供每个方向的交通单一车道。这两个普通的道路(红, 黄,绿)信号灯。交集装有一个传感器。-The controller to be designed controls the traffic lights of a busy highway (HWY) inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:16156
    • 提供者:jimmy sia
« 12 3 4 »
搜珍网 www.dssz.com