CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 计数器设计

搜索资源列表

  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:160203
    • 提供者:thocr
  1. dianzishizhong

    0下载:
  2. 题目:电子时钟的设计 一、实验目的: 1. 掌握多位计数器相连的设计方法。 2. 掌握十进制、六十进制、二十四进制计数器的设计方法。 3. 继续巩固多位数码管的驱动及编码。 4. 掌握扬声器的驱动 5. 掌握EPLD技术的层次化设计方法 二、实验要求: 1.用时、分、秒计数显示功能,以24小时循环计时。 2.具用清零,调节小时、分钟功能。 3.具用整点报时功能。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:167964
    • 提供者:li
  1. yaya

    0下载:
  2. 这是我们最近的课业设计,是关于计数器统计原代码的统计,具有一定的价值-This is our recent homework is designed to counter statistics on the original code statistics, which have a certain value
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3562
    • 提供者:井亚
  1. 4选1数据选择器设计

    0下载:
  2. 3-8译码器设计 4选1数据选择器设计 4位比较器设计 七人表决器设计 计数器设计 交通灯信号控制器设计,3-8 Decoder 4 election to choose a data compared Design 4 Design Design a vote of seven traffic lights signal counter design controller design
  3. 所属分类:软件工程

    • 发布日期:2017-03-22
    • 文件大小:233859
    • 提供者:宋立泉
  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. 数字电路课程设计

    0下载:
  2. 设计任务与要求 设计并完成可预知的定时显示报警系统。具体要求如下: (1)设计一个可灵活预置时间的计时电路,要求具有时间显示的功能,能准确地预置和清零。 (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续计时。 (3)要求计时电路递减计时,每隔一秒,计数器减1。 (4)当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。
  3. 所属分类:报告论文

    • 发布日期:2012-09-11
    • 文件大小:732672
    • 提供者:anranxiaohun
  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. AT89C51

    0下载:
  2. 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数器、并行和串行接口、看门狗、前置放大器、A/D转换器、D/A转换器等多种电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。这种技术促使机器人技术也有了突飞猛进的发展,目前人们已经完全可以设计并制造出具有某些特殊功能的简易智能机器人。-With the continuous development of microelectronic technology,
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:65175
    • 提供者:林飞
  1. devider_design

    0下载:
  2. Abstract循序电路第一个应用是拿来做计数器((笔记) 如何设计计数器? (SOC) (Verilog) (MegaCore)),有了计数器的基础后,就可以拿计数器来设计除频器,最后希望能做出能除N的万用除频器。-Abstract The first application of sequential circuits are used to make counter ((notes) How to design a counter? (SOC) (Verilog) (MegaCore)),
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:39651
    • 提供者:王媛媛
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. VHDL5

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:119368
    • 提供者:张林锋
  1. CPLD

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:192837
    • 提供者:张林锋
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. 123

    0下载:
  2. 40192 CMOS 可预制四位BCD计数器设计原理-40192 CMOS four BCD counters can precast design principle
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:65144
    • 提供者:chang
  1. 00_99count

    0下载:
  2. 0-99秒的计数器,设计原理和程序!希望给有用的爱好着!-0-99 seconds counter, design principles and procedures! Want to give a useful enthusiasts!
  3. 所属分类:software engineering

    • 发布日期:2017-04-26
    • 文件大小:42077
    • 提供者:李响
  1. DCNT60

    0下载:
  2. 60进制计数器设计仿真文件,已经经过仿真,程序及仿真结果无误。-60 binary counter design simulation files, has been the simulation program and simulation results are correct.
  3. 所属分类:software engineering

    • 发布日期:2017-05-17
    • 文件大小:4200459
    • 提供者:丁丁
  1. 出租车计价器

    0下载:
  2. 实现三公里起步价,里程显示,价格显示,仅用计数器实现,不用单片机。(Three kilometers to achieve the starting price, mileage shows, the price shows that only use counter to achieve, without MCU.)
  3. 所属分类:文章/文档

« 12 3 »
搜珍网 www.dssz.com