CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .49 .50 .51 .52 .53 32654.55 .56 .57 .58 .59 ... 33645 »
  1. traffic_lights

    1下载:
  2. Verilog语言3个程序,包括4位二进制的BCD码加法器,ALU位片,交通信号灯。既有源码也有word文档说明。-Verilog language three procedures, including 4-bit binary code of the BCD adder, ALU-bit chip, traffic lights. Only source documents that have word.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1596670
    • 提供者:郭函
  1. uart16450

    1下载:
  2. uart 16450合集,xilin altera lattice-collection of uart controller 16450
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-11
    • 文件大小:822763
    • 提供者:jhv
  1. jtag_uart

    1下载:
  2. Configuration and usage of Altera s JTAG UART.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5648271
    • 提供者:shahbaz
  1. DE2_pio

    1下载:
  2. altera University Program 的 Avalon总线的IP核,GPIO,可以直接解压以后挂载在Avalon总线上-altera University Program of the Avalon bus IP core, GPIO, after decompression can be directly mounted in the Avalon bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:270980
    • 提供者:vicky
  1. SRAM_Controller

    1下载:
  2. Altera University Program的Avalon总线IP核,SRAM控制代码,可以解压后直接挂载在Avalon总线上 -Altera University Program of the Avalon bus IP core, SRAM control code can be directly mounted after decompression in the Avalon bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:324914
    • 提供者:vicky
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. VB_decode

    1下载:
  2. Viterbi译码的VHDL代码,并且附有详细说明-Viterbi decoding of the VHDL code, and accompanied by a detailed descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:62784
    • 提供者:陈娟
  1. Modelsim_fredevider_testbench_TEXTIO

    1下载:
  2. 此文档通过分频器的例子描述了如何使用modelsim,如何编写testbench以及textio的使用-This document is an example through the divider describes how to use the modelsim, how to write a testbench and use textio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-01
    • 文件大小:255812
    • 提供者:二米阳光
  1. 32X32LED

    1下载:
  2. 基于verilog语言编写的32X32LED点阵的字符显示程序-use the verilog to test the 32X32 led
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-12
    • 文件大小:332977
    • 提供者:阮开明
  1. IIRtest

    1下载:
  2. quartusII9.0开发环境下巴特沃斯IIR滤波器的实现完整的工程文件,同时里面有文档详细说明如何用modelsim对altera芯片进行仿真-development environment quartusII9.0 Butterworth IIR filter to achieve a complete project file, but there are documents in detail how to use modelsim to altera-chip simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:44794234
    • 提供者:赵辉
  1. DDS

    1下载:
  2. 基于Verilog的dds设计,已经经过调试,可直接使用-Dds of Verilog-based design, has been testing can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2041510
    • 提供者:郭帅
  1. system

    1下载:
  2. 基于vhdl的简易数字频率计设计,已经经过调试,可直接使用-Vhdl based on a simple digital frequency meter design, have been debugging, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2603342
    • 提供者:郭帅
« 1 2 ... .49 .50 .51 .52 .53 32654.55 .56 .57 .58 .59 ... 33645 »
搜珍网 www.dssz.com