CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .42 .43 .44 .45 .46 32747.48 .49 .50 .51 .52 ... 33645 »
  1. niosforflash

    0下载:
  2. 本程序功能是在FPGA上nios处理器的flash接口程序。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:840
    • 提供者:李成
  1. TLC5615

    0下载:
  2. 以VERILOG语言描绘的TLC5615的驱动程序-Described in VERILOG language driver TLC5615
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:839
    • 提供者:李柏睿
  1. Rotary_Counter

    0下载:
  2. it is a rotatry counter .it will work on spartan 3 xilini devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:839
    • 提供者:ali
  1. shift

    0下载:
  2. Simple shift register with testbench in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:839
    • 提供者:Tukan
  1. counter

    0下载:
  2. 实现了各种精度的计算器,可供学习,也可应用的工程中。- the difference counter ,it can help to learn VHDL ,it can also be as a modular in your project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:839
    • 提供者:xu_xf
  1. lcd

    0下载:
  2. LCD的循环显示,先点亮LCD然后对其进行操作,实现了通过nios2控制LCD的显示,-Cycle of the LCD display, first lit LCD and its operations, to achieve control through the nios2 LCD display,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:839
    • 提供者:zxhou
  1. jf

    0下载:
  2. verilog编写的alu模块4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出-Verilog modules prepared by the ALU4bit ALU (arithmetic logic unit) design is given in the design of alu input and ou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:839
    • 提供者:王川
  1. ROTARY_KNOB

    0下载:
  2. Rotary knob/encoder reader
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:839
    • 提供者:Eka
  1. MF500config

    0下载:
  2. 在通信中要用到校验位 以保证通信的正确性-Use communication to the parity bit to ensure the correctness of the communication
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-09
    • 文件大小:839
    • 提供者:李泽辉
  1. single_port_ram_with_init

    0下载:
  2. Single-port RAM with single read/write address and initial contents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:839
    • 提供者:Trung
  1. clk_div_N

    0下载:
  2. 程序可以实现时钟的任意偶数分频,使用Verilog语言编写。在quartus ii中得到验证并进行了仿真-Program can be any even divided clock using Verilog language. Been verified in quartus ii and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:839
    • 提供者:李亮
  1. run

    0下载:
  2. 交通灯,跑马灯,在LCD1602上显示时间剩余-Traffic lights, on the LCD1602 displays the time remaining
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:839
    • 提供者:刘增文
« 1 2 ... .42 .43 .44 .45 .46 32747.48 .49 .50 .51 .52 ... 33645 »
搜珍网 www.dssz.com