CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 90 91 92 93 94 9596 97 98 99 100 ... 4322 »
  1. AEScoremodules

    2下载:
  2. AES decoder aes_dec.vhdl AES encoder aes_enc.vhdl Package used by rest of design aes_pkg.vhdl Key Expansion component for AES encoder and decoder key_expansion.vhdl -AES AES encoder decoder aes_dec.vhdl aes_ enc.vhdl Package used by rest
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10174
    • 提供者:孟轲敏
  1. rs_decoder_31_19_6.tar

    1下载:
  2. Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-22
    • 文件大小:14247
    • 提供者:孟轲敏
  1. duogongnengdianzizhong

    0下载:
  2. 具有整点报时功能,整点时响铃5s。具有控制启动和关闭功能。 具有调整起床铃,熄灯铃时间的功能。 具有调整打铃时间长短和间歇时间长短的功能。 -with whole point timekeeping function, the whole point ringing 5s. Have control startup and shutdown functions. Get up with adjustments bell, lights-out bell time function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:941463
    • 提供者:吴声炬
  1. vgactrl

    0下载:
  2. vga控制电路原码。主要有时序产生模块,彩条产生模块和接口模块。改程序主要用状态机来实现,两个计数器来控制状态的翻转。-vga control circuit original code. Sequencers have a major modules of exotic produce modules and interface modules. Procedures in the main state machine to achieve, two counter to the state
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1117
    • 提供者:lili
  1. xapp935

    0下载:
  2. ddr2 controller, verilog source code from xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:347004
    • 提供者:Hubert
  1. 656to601

    0下载:
  2. 本程序实现视频图象的CCIR656转换CCIR601格式,使用的环境是Quartus II 4.0-the program CCIR656 video image conversion CCIR601 format, The environment is the use of Quartus II 4.0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:564659
    • 提供者:吉克
  1. isatoi2c

    0下载:
  2. 本程序实现的是ISA转I2C的功能,绝对可用-this program is the ISA I2C transfer function can be absolute
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3273
    • 提供者:吉克
  1. leon2-1[1].0.2a

    1下载:
  2. leon微处理器源代码,航空专用,功能强劲。包括详细说明-leon microprocessor source code, air flow, a strong function. Include a detailed descr iption of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:919516
    • 提供者:王 一
  1. VerilogHDLchinapub

    0下载:
  2. Verilog HDL硬件描述语言 01简介.PDF 02HDL指南.PDF 03语言要素.PDF 04表达式.PDF 05门电平模型化.PDF 06用户定义原语.PDF 07数据流模型化.PDF 08行为建模.PDF 09结构建模.PDF 10其它论题.PDF 11验证.PDF 12建模实例.PDF 13语法参考.PDF-Verilog HDL Hardware Descr iption Language Introduction 01. P
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4837617
    • 提供者:
  1. chip1

    0下载:
  2. CPLD的程序,分频,微分等,应用于DPLL -CPLD procedures, frequency, differential, etc. can be applied to DPLL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:30562
    • 提供者:sss
  1. S1_38yima

    0下载:
  2. 1、本程序模仿3/8译码器的功能 2、由拨码开关输入,led输出。-1, the procedures imitate 3 / 8 decoder function 2, code switching from the allocation of import, export led.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:120555
    • 提供者:xujia
  1. 8b10b_Encoder

    1下载:
  2. 应用VHDL设计的8b10b 编码器,对串行数据的高速传输有用。-application VHDL design 8b10b encoding device to the high-speed serial data transmission useful.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:78239
    • 提供者:taitango
« 1 2 ... 90 91 92 93 94 9596 97 98 99 100 ... 4322 »
搜珍网 www.dssz.com