CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 87 88 89 90 91 9293 94 95 96 97 ... 4322 »
  1. labsolutions

    0下载:
  2. Xilinx的培训教程的源码 virtex-Xilinx training guides source virtex
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14724698
    • 提供者:jihuijie
  1. Xilinx_ISE

    0下载:
  2. picoblaze实现交通灯控制的完整工程文件,xilinx fpga实现-picoblaze traffic lights to achieve complete control of the project documents, achieving xilinx fpga
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59483
    • 提供者:jihuijie
  1. state_machine

    0下载:
  2. 使用8位控制器picoblaze实现状态机的源代码-use eight picoblaze achieve controller state machine source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5425
    • 提供者:jihuijie
  1. Ycrcb2rgb

    0下载:
  2. VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42158
    • 提供者:jihuijie
  1. FPGA_led_test_1c6

    0下载:
  2. 这是1C6实验板的一个实验程序,其用的组件为LED组件。对大家初步掌握FPGA有帮助-1C6 This is an experimental plate experimental procedure, with the components of LED components. Members of the preliminary master FPGA help
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97402
    • 提供者:进老夺
  1. 8255_2_best

    0下载:
  2. 可编程逻辑接口8255并行接口芯片的vhdl描述 -Programmable Logic Interface 8255 parallel interface chip vhdl Descr iption
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:130491
    • 提供者:王宇
  1. V+m511

    0下载:
  2. M序列编码-M coding sequence
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:66941
    • 提供者:sss
  1. dpll0227

    0下载:
  2. DPLL同步提取有一定效果-DPLL simultaneously extract a certain effect 11111111111111111111111
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:272211
    • 提供者:s
  1. usb_verilog.tar

    0下载:
  2. 文件包含一个usb 专用集成电路设计项目,用的verilog 原码-document contains a usb ASIC design, the original code verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:197240
    • 提供者:jockeyhao
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. count16

    0下载:
  2. count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:杨奎元
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4415
    • 提供者:杨奎元
« 1 2 ... 87 88 89 90 91 9293 94 95 96 97 ... 4322 »
搜珍网 www.dssz.com