CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 79 80 81 82 83 8485 86 87 88 89 ... 4322 »
  1. nios2irq

    0下载:
  2. 实现FPGA板上用按钮(外部中断)控制led的亮灭-Implement on FPGA board with button (external interrupt) control the led light out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16507904
    • 提供者:wu
  1. fft512_ipcore

    0下载:
  2. 512点的FFT 使用IP核 帮助新手理解-Using a 512-point FFT IP core to help the novice to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16472422
    • 提供者:胡祺勇
  1. Viscosity_1.7.7[sn]

    0下载:
  2. Viscosicty is a vpn app
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:16467968
    • 提供者:vartana
  1. dfilter

    0下载:
  2. 用FPGA实现信道化接收机算法,共256个信道,处理时钟40M,时分复用完成算法实现-FPGA implementation using channelized receiver algorithm, a total of 256 channels, processing clock 40M, time division multiplexing algorithm to complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16457684
    • 提供者:许磊
  1. matlab

    0下载:
  2. 16位浮点FFT算法的VHDL实现有测试文件!-16-bit floating-point FFT algorithm VHDL realization of a test file!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16425811
    • 提供者:殷桃
  1. AN177

    0下载:
  2. AMBA Application Note: AN177 - Using EB with CT1176JZF-S Core Tile. -AMBA Application Note: AN177- Using EB with CT1176JZF-S Core Tile. This example shows how to use the EB baseboard with a CT1176JZF-S Core Tile. The following board combi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16421888
    • 提供者:余曉民
  1. DEMO_N

    0下载:
  2. FPGA NOISII程序,包含串口,FLASH,SPI等各种接口的程序,由原理图和VERLOG语言混合编写,非常适合初学者,开发环境为QUARTUS 9.0,芯片为EP2C208QC8N-The the FPGA NOISII program, including serial, FLASH, SPI, interface program, the schematic and VERLOG language prepared by mixing, ideal for beginners, de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-26
    • 文件大小:16405504
    • 提供者:黄小波
  1. Q11_SOPC_Freq_Avalon

    0下载:
  2. FPGA平台下基于Nios II的数字频率计,测频模块采用verilogHDL编写,等精度算法,Avalon总线封装,Nios II读取最终的频率数值,显示在LCD12864上。-The Nios digital frequency meter based on II FPGA platform, the frequency measurement module written by verilogHDL, such as the accuracy of algorithm, Avalon bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16395014
    • 提供者:光速不变
  1. 电梯控制器报告(Verilog实现)

    1下载:
  2. 实现一个简单的电梯控制器,能够完成一个四层电梯的控制(The realization of a simple elevator controller, to complete a four storey elevator control)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:16393216
    • 提供者:星空微尘
  1. onchip_seg

    0下载:
  2. NIOS FPGA片上存储器的核12345673564-NIOS FPGA on-chip memory nuclear 12345673564
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:16388288
    • 提供者:宇智波带土
  1. RCQ208_V3_24TFT

    0下载:
  2. Quartus NIOS例程,控制320*240TFT液晶显示,包括汉字、字符显示及显示缓存SDRAM控制驱动-Quartus NIOS routines, control 320* 240TFT LCD, including Chinese characters, character display and display control drive cache SDRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16383463
    • 提供者:天天向上
  1. clock_sel

    0下载:
  2. 无毛刺多时钟选择,可根据不同模式选择不同时钟(Multi clock selection, different modes can be selected according to different clock)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:16373760
    • 提供者:xiaoqiang
« 1 2 ... 79 80 81 82 83 8485 86 87 88 89 ... 4322 »
搜珍网 www.dssz.com