CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 进制 计数器

搜索资源列表

  1. seg

    0下载:
  2. 六位十六位进制数可逆循环计数器、七段译码器设计,完全有VHDL语言设计,生成SYM文件后,设计top.gdf文件,赋好管脚下载到altera芯片上执行。-Sixteen decimal six reversible cycle counter, seven-segment decoder design, fully VHDL language design, build SYM files, design top.gdf file, assign a good pin downloaded to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:394558
    • 提供者:Michael Zhou
  1. vhdl--eda

    0下载:
  2. m 序列发生器 计数器 七段数码管显示 bcd 十六进制转换-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2142
    • 提供者:kuwait
  1. VHDL_counter

    0下载:
  2. 实验要求:用VHDL语言设计一个16进制加减计数器,计数方向可以由外界输入信号控制,带有清零和置位,输出除了包括计数值外还应包括进位和借位。-Design a VHDL counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:513288
    • 提供者:马路
  1. CPLD

    0下载:
  2. 设计一个6进制递增计数器,计数信号通过K0产生并输入。计数结果利用试验仪上的数码管LED2显示。-Design a 6 hexadecimal increment the counter, and enter the count signal generated by K0. Count results on the tester digital tube display LED2.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:99047
    • 提供者:张宇
  1. kt1

    1下载:
  2. 基于FPGA的可控100进制可逆计数器,运行环境maxplus-Controlled 100 hex reversible counter FPGA-based operating environment maxplus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-10
    • 文件大小:263168
    • 提供者:cynthia
  1. counter

    0下载:
  2. VHDL 脉冲输入15进制输出计数器 计数器是实际中最为实用的时序电路模块之一-VHDL pulse input the counter of the output of the 15 hexadecimal counter the one of the of yes one of the the actual in the the most practical timing circuit module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:56466
    • 提供者:陳秋
  1. zonghe

    0下载:
  2. 实现12进制异步计数器,内置分频模块,可以下载到单片机上查看结果-12 hex asynchronous counter, built-in frequency module, can be downloaded to view the results on the single-chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:192408
    • 提供者:ct
  1. Counter

    0下载:
  2. 计数器,五进制的计数器,在此基础上可以做十进制,六十进制等的计数器.-Counter quinary counter, can be done on the basis of the decimal, six decimal, such as the counter.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-08
    • 文件大小:347072
    • 提供者:dongxia
  1. shuzhizhong(vhdl)

    0下载:
  2. 数字钟设计 计时计数器用24进制计时电路; 可手动校时,能分别进行时、分的校正; 整点报时; 选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。-Digital clock design
  3. 所属分类:assembly language

    • 发布日期:2017-10-31
    • 文件大小:725786
    • 提供者:aaaaa
  1. VHDL_60-system_counter

    0下载:
  2. 用VHDL语言编写的简易60进制的可调节计数器,用于Xilinx ISE软件-A 60-digit system settable countr using VHDL, programming using Xilinx ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:207924
    • 提供者:Winson
  1. jishuqi

    0下载:
  2. EDA实现计数器功能十六进制和二十四进制-EDA counter function hex and 24 quaternary
  3. 所属分类:Other systems

    • 发布日期:2017-03-21
    • 文件大小:501619
    • 提供者:王丹
  1. cnt

    0下载:
  2. 4位及8位计数器,根据4进制和8进制原理设计计数器-4位及8位计数器,根据4进制和8进制原理设计计数器 您是不是要找: jk触发器,根据输入的信号的产生相应的输出 请键入文字或网站地址,或者上传文档。 取消 4 Wèi jí 8 wèi jìshùqì, gēnjù 4 jìn zhì hé 8 jìn zhì yuánlǐ shèjì jìshùqì “”的用法示例:由 Google 自动翻译英语中文(简体)日语4-bit and 8-bit counter, hexade
  3. 所属分类:assembly language

    • 发布日期:2016-01-25
    • 文件大小:52224
    • 提供者:linyii
  1. Eight-16-band-frequency-meter-design

    0下载:
  2. 8位16进制频率计的设计,其中包括测评控制电路的设计,32位锁存器的设计,32位计数器的设计和频率计顶层文件-Eight 16-band frequency of the design, including the design of the evaluation of the control circuit, 32-bit latch design, the design of 32-bit counter and frequency meter top-level document
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:1829806
    • 提供者:杨静
  1. counter

    0下载:
  2. 频率计的一个模块,即计数器,六进制和十进制级联,构成六十计数器-Frequency of a module, counter, hex and decimal cascade of constitute sixty counter
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-23
    • 文件大小:738
    • 提供者:李雪
  1. clock

    0下载:
  2. Verilog 编写的60进制的计数器,可以用来设计数字钟、频率计等-count_60 for digital clock using Verilog
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-11-14
    • 文件大小:876
    • 提供者:刘胜
  1. count-1

    0下载:
  2. 基于Verilog的仿真,各个进制的计数器仿真。-Verilog-based simulation, the simulation hex ​ ​ counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:1474907
    • 提供者:li
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. shijinzhi.c

    0下载:
  2. 10进制同步计数器,带一个清零端,一个进位输出端-10 N synchronous counter with a clear side, a carry output
  3. 所属分类:assembly language

    • 发布日期:2017-11-23
    • 文件大小:2355
    • 提供者:ly
  1. clock

    0下载:
  2. 设计一台能显示时、分、秒的数字电子钟,具体要求如下: (1)时计数器用24进制计时电路,分、秒计数器用60进制计分、计秒电路; (2)可手动校时,能分别进行时、分的校正; (3)能实现整点报时功能。 -Design a table can display hours, minutes and seconds of digital electronic clock, the specific requirements are as follows: (a) when the cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:935353
    • 提供者:mike
  1. Digital-clock-design

    1下载:
  2. 数字钟设计 用VHDL实现一个50MHZ到1HZ的分频器,利用Quartus II进行文本编辑输入和仿真硬件测试。实现一个60进制和24进制的计数器。测试成功。-Digital clock design using VHDL a 50MHZ to 1HZ divider using Quartus II simulation for text input and editing hardware test. Achieve a 60 hex and 24 hex counter. Test wa
  3. 所属分类:Other systems

    • 发布日期:2015-02-03
    • 文件大小:240640
    • 提供者:鲁可丹
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com