CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 端口

搜索资源列表

  1. RS232

    0下载:
  2. RS232_串口通信的发送端verilog源程序代码-RS232_ serial communication sender verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1895
    • 提供者:咕嘟大树
  1. Design-matrix-keyboard-

    0下载:
  2. 1、了解普通4×4键盘扫描的原理。 2、进一步加深七段码管显示过程的理解。 3、了解对输入/输出端口的定义方法。 -Design matrix keyboard interface circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:60278
    • 提供者:漆广文
  1. ps2

    0下载:
  2. 这是采用了verilog 语言编写的ps2,也就是键盘和FPGA交互的端口协议,适用于virtex5-This is used ps2 verilog language, which is the keyboard port protocol and interactive FPGA for virtex5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2191
    • 提供者:梁卓君
  1. lcd-1602

    0下载:
  2. 关于用4端口对lcd1602显示,一般都是通过8端口显示的,上传的这个是ise里所建立的工程-On the use of the 4-port lcd1602 display, usually by 8-port display the uploaded this is ise in the established engineering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:33263
    • 提供者:陈建祥
  1. HDMI_FPGA

    1下载:
  2. 该源码可基于FPGA设置多分辨率的HDMI显示,且其包含了完整的时序和端口、地址映射,可以很方便的将其移植-The source code can be set based on FPGA multi-resolution HDMI display, and it includes a complete timing and port, address mapping, it can be easily transplanted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5992878
    • 提供者:
  1. C430

    0下载:
  2. 芯视清C4-30开发板的自检启动代码,里面有Audio_wm,DDR,LCD,PS2,VGA,等多个端口的自检程序-Core visual C4-30 development board self start code, which has Audio_wm, DDR, LCD, PS2, VGA, and many other self inspection procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:582656
    • 提供者: 程竹
  1. shft

    0下载:
  2. 含同步并行预置功能的8位移位寄存器。工作原理 当CLK的上升沿到来时进程被启动,如果这时预置使能LOAD为高电平,则将输入端口的8位二进制数并行置入移位寄存器中,作为串行右移输出的初始值;如果LOAD为低电平,则执行语句: reg8(6 downto 0)< reg8(7 downto 1)-8 bit shift register with synchronous parallel preset function. The principle of work when the ri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:38912
    • 提供者:
  1. fdiv_test_isim_beh

    0下载:
  2. VHDL主要用于描述数字系统的结构、行为、功能和接口。除了许多具有硬件特性的句子外,VHDL语言形式、描述风格和语法与一般计算机高级语言非常相似。VHDL的程序结构是一个工程设计,或设计实体(可以是一个组件,一个电路模块或一个系统)被划分为外部(或可见部分,和端口)和内部(或不可视)-VHDL is used primarily to describe the structure, behavior, function, and interface of digital systems. In
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:393027
    • 提供者:小陈
  1. IIC读写EEPROM发送到PC串口

    0下载:
  2. 能实现用IIC读EEPROM并且将读取的数据通过串口发送到PC端,以及在PC端通过串口发送数据给FPGA,再利用IIC将数据写入EEPROM(The program can realize that FPGA read the data from EEPROM by IIC and then send it to PC by UART,and that PC send the data to FPGA by UART and then write the data to EEPROM by
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:246784
    • 提供者:lml_234
  1. 8_1

    0下载:
  2. 一个具有置位、复位、左移和右移功能的八位移位寄存器/“01011010”序列检测器。移位寄存器电路端口为:异步清零输入端口rst,输入时钟clk,置数判断输入端口load,移位类型判断输入端口m,数据输入端口data[7:0],输出端口q[7:0]。序列检测器电路端口为:异步清零输入端口rst,输入时钟clk,串行数据输入端口d,输出标志端口s。(A eight bit shift register / 01011010 sequence detector with set, reset, le
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:94208
    • 提供者:白学
  1. 7_1

    0下载:
  2. 电路端口为:异步清零输入端口rst,输入时钟clk_in,输出时钟clk_out。并分别采用两种以上的方法实现。(Frequency divider circuit port is: Asynchronous Clear input port rst, input clock clk_in, output clock clk_out. And use two or more methods to achieve.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:271360
    • 提供者:白学
  1. chenjingtwo

    0下载:
  2. 全加器LED点亮的原理是,根据LED硬件电路接法给相应的端口高电平或者低电平即可点亮。(LED light principle is, according to the LED hardware circuit connection to the corresponding port, high or low level can light.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. syn_dp_fifo.v

    0下载:
  2. 同步双端口FIFO, 可同时读写,FIFO深度宽度可通过参数配置,带SV断言测试。(Dual Port Synchronization FIFO for ASIC/FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:1024
    • 提供者:junkaizhan
  1. ram_2

    0下载:
  2. 双端口RAM,可读,可写,用Verilog编写。希望与大神交流,求大神指正。(Dual port RAM, readable and writable, written in Verilog. Hope to communicate with great God, ask God to correct me)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 结题报告-基于链家网数据的上海二手房房价分析

    0下载:
  2. 用FPGA 编写的双端口的RAM,可以实现读写,希望通过这个平台与各个大神交流,希望得到大神的批评指正。(Prepared by FPGA double port RAM, you can read and write, and I hope that through this platform to communicate with the great gods, hoping to get criticism of the great god.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. project_1

    0下载:
  2. 在FPGA上实现一个流水灯,包括端口设定等(On FPGA to achieve a water led, including port settings)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:562176
    • 提供者:杨云霄
  1. 信号分析与处理——MATLAB语.part1

    1下载:
  2. ① Verilog的抽象级别 ② Verilog的模块化设计 ③ 如何给端口选择正确的数据类型 ④ Verilog语言中latch的产生 ⑤ 组合逻辑反馈环 ⑥ 阻塞赋值与非阻塞赋值的不同 ⑦ FPGA的灵魂状态机 ⑧ 代码风格的重要性((1) the abstract level of Verilog The modular design of Verilog How to select the correct data type for the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1457152
    • 提供者:mmelody
  1. jiaotongdeng_fuza

    1下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-24
    • 文件大小:5611520
    • 提供者:威威谈谈
  1. camera_ov7725_sample

    1下载:
  2. 实现摄像头ov7725采集视频,通过vga端口输出. fpga型号为EGO1,可以自行修改xdc文件.(Realize the camera ov7725 acquisition of video, output through VGA port. Fpga model EGO1, you can modify the XDC file.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-11-20
    • 文件大小:15090688
    • 提供者:beTTer_every
  1. FPGA等精度频率计

    0下载:
  2. 先预置一个闸门信号,将该闸门信号作为D触发器的输入端,将被测信号作为D触发器的时钟,当闸门信号有效的时候(即从0到1的时候),在被测信号的上升沿来临的时候,闸门信号被送到D触发器的Q端口。D触发器的Q端口分别连接两个计数器,一个计数器对基准时钟计数(板子上的50M时钟或者用锁相环倍频后的高速时钟),另一个计数器对被测信号计数。当闸门信号有效被送到Q端口的时候,使能这两个计数器进行计数,当基准时钟计数到1s的时候,闸门信号拉低,无效(产生时间宽度为1s的闸门),计算这1s的时间内,被测信号计数了多
  3. 所属分类:VHDL编程

« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com