CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - interpolation verilog

搜索资源列表

  1. baseband_verilog.rar

    2下载:
  2. verilog实现的基带信号编码,整个系统分为六个模块,分别为:时钟模块,待发射模块,卷积模块,扩频模块,极性变换和内插模块,成型滤波器,verilog implementation baseband signal coding, the entire system is divided into six modules, namely: the clock module, to be launched modules, convolution module, spread spectrum m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:26940
    • 提供者:刘新
  1. resolutionquartusII

    1下载:
  2. 用verilog编写的分辨率提高的源代码 采用双线性插值-Written resolution with the verilog source code to improve the use of bilinear interpolation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6241225
    • 提供者:权晶
  1. DDA_xy

    2下载:
  2. 运用Verilog 语言进行数字积分法,将X轴和Y轴进行插补运算。-Verilog language using digital integration method, the X axis and Y axis interpolation operations.
  3. 所属分类:VHDL编程

    • 发布日期:2017-02-28
    • 文件大小:1218368
    • 提供者:张伟
  1. hbf

    1下载:
  2. 半带插值滤波器设计、综合、仿真和硬件测试-Half-band interpolation filter design, synthesis, simulation and hardware test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18717
    • 提供者:pll
  1. verilog

    0下载:
  2. 本代码设计的是一个通讯系统软件无线电中变换比为5/4的分数倍抽取器,用Verilog编程首先实现4倍内插,再实现5倍抽取。-The code design is a software-defined radio communication system in transformation ratio 5/4 points times the extractor, using Verilog programming the first to achieve four times the inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3934
    • 提供者:张霄
  1. myinterpolation

    0下载:
  2. 复杂的插值函数,用于颜色空间转换 verilog-The complex interpolation function for color space conversion verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1219
    • 提供者:zhangxinggang
  1. cic_intp_64_four

    0下载:
  2. 4阶CIC内插滤波器,内插系数64,Verilog版本,数字下变频-4-order interpolating CIC filter interpolation factor of 64, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:652
    • 提供者:王刚
  1. mc_t

    0下载:
  2. 利用verilog实现H.264中半像素插值功能。30个周期完成一个4x4块儿的横向、纵向和斜向的插值。-Verilog implementation using H.264 in the half-pixel interpolation function. 30 cycles to complete a 4x4 pieces of horizontal, vertical and diagonal interpolation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17296167
    • 提供者:吴汶泰
  1. interpolation-filer-rtl

    0下载:
  2. synthesizable verilog rtl implemetation of interpolation filter, for both asic and fpga. 64x interpolation. interp_filter.v interp_first.v interp_second.v interp_third.v upsample.v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2719
    • 提供者:swordever
  1. CIC-UPSAMPLE

    1下载:
  2. CIC内插 内插系数可变,阶数1~6,Verilog版本-Inserted within the CIC interpolation factor variable, the order of 1 to 6, the Verilog version
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2821
    • 提供者:邹燕然
  1. video_stream_scaler

    3下载:
  2. Verilog HDL实现双线性插值视频实时缩放,源码及说明文档-Verilog HDL bilinear interpolation real-time zoom, video source and documentation
  3. 所属分类:Special Effects

    • 发布日期:2017-05-20
    • 文件大小:5642976
    • 提供者:
  1. interp_24_cic

    0下载:
  2. 基于fpga的插值CIC滤波器设计,采用verilog编写,24倍插值,仿真通过-Fpga-based interpolation CIC filter design using verilog write, 24x interpolation, through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:2337184
    • 提供者:zengdeqian
  1. line_four

    3下载:
  2. 利用verilog HDL逐点比较法实现直线和圆弧插补-Use verilog HDL by-point comparison method to achieve linear and circular interpolation
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-10
    • 文件大小:510661
    • 提供者:sinha
  1. color-interpolation

    0下载:
  2. 用verilog实现的颜色插值,有带仿真-Color interpolation using verilog realize there with simulation
  3. 所属分类:Special Effects

    • 发布日期:2017-04-14
    • 文件大小:4821
    • 提供者:吴火森
  1. cic_cz

    0下载:
  2. 在altera平台用verilog硬件描述语言实现cic插值滤波,在modelsim软件中仿真通过,包含完整的工程代码,可以直接下载到FPGA中运行-In the Altera platform using Verilog hardware descr iption language CIC interpolation filter, through the simulation in Modelsim software, including the complete project co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1086505
    • 提供者:汪少锋
  1. code_lagrange_interpolation

    0下载:
  2. 使用verilog实现拉格朗日插值,很有使用价值,有需要的可以参考一下-Use verilog to achieve Lagrange interpolation, very useful value, there is a need to refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:8665
    • 提供者:华天
  1. bresenham_algorithm

    0下载:
  2. This a project which contains a verilog code for Bresenham algorithm for linear interpolation, the code is tested using isim simulator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11577743
    • 提供者:shahbaaz
  1. interpolate4

    0下载:
  2. 调制信号后4倍内插的verilog代码,用于基带成型滤波器输入数据-4 times after modulation signal interpolation verilog code, used to baseband shaping filter input data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:528
    • 提供者:右下角
  1. control_s

    3下载:
  2. 数控机床 多轴插补原理积分算法,实现s曲线加减速原理(Numerical control machine tool multi axis interpolation principle, integration algorithm, to achieve the S curve acceleration and deceleration principle)
  3. 所属分类:其他

    • 发布日期:2017-12-16
    • 文件大小:4925440
    • 提供者:qing wang
  1. interpolation

    1下载:
  2. 图像线性插值Verilog代码,已通过FPGA验证(Image linear interpolation Verilog code, has been verified by FPGA)
  3. 所属分类:图形图像处理

    • 发布日期:2017-12-18
    • 文件大小:5120
    • 提供者:pweorpenguin
« 12 »
搜珍网 www.dssz.com