CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 87 88 89 90 91 9293 94 95 96 97 ... 4322 »
  1. freq_100M

    0下载:
  2. 在FPGA平台上,verilog,频率测量,已调试,可在quartus上打开。-On the FPGA platform, verilog, frequency measurement, debugged, can be opened on quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15659677
    • 提供者:秦枫
  1. nrf24l01fasong

    1下载:
  2. nrf24l01 Verilog 代码,此代码是采集温度后通过nrf24l01传输出来,另有一对nrf24l01接收,此代码是发送代码-nrf24l01 Verilog code, which is the temperature of the transmission out of the post-acquisition through nrf24l01,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15636480
    • 提供者:田勇
  1. FPGA-design

    0下载:
  2. 全面深入介绍了FGPA开发过程中需要注意的问题,包括延时,综合,网表生成等-FGPA comprehensive insight into the development process issues that need attention, including delay, synthesis, netlist generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15634460
    • 提供者:熊石
  1. steppercontrol

    0下载:
  2. 一个步进电机控制器的程序,包含了两个电机的控制,一路编码器的接收,串口的接收,脉冲的输出,非常综合-Stepper motor controller program contains two motor control, all the way to the reception of the encoder, serial receiver, pulse output, very comprehensive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:15625504
    • 提供者:bingo
  1. VerilogExperiment_v2

    0下载:
  2. verilog那些事儿_时序篇程序,请需要的人员下载参考-verilog timing of those things _ Chapter program, please download the reference staff needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15624601
    • 提供者:
  1. sdram_controller

    0下载:
  2. sdram的控制器代码 sdram的控制器代码 sdram的控制器代码-sdram controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15580431
    • 提供者:俞光宇
  1. rs_dec_v1_r1

    0下载:
  2. hi i have uploaded the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15576803
    • 提供者:sakthivel.p
  1. book

    0下载:
  2. Verilog HDL与VHDL都是数字系统设计的硬件描述语言,VerilogHDL适合算法级,rtl,逻辑级,门级,而VHDL适合特大型的系统级设计。针对这些特点这两本书深入浅出的介绍了这两种语言。-Verilog HDL and VHDL design of digital systems is the hardware descr iption language, VerilogHDL suitable algorithm level, rtl, logic level, gate-lev
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15563097
    • 提供者:龙英
  1. gam7

    0下载:
  2. FPGA Implementation ofLow Power 64-Point Radix-4 FFT Processor for OFDM System
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15552953
    • 提供者:rams
  1. 3.weigt-pattern-gen

    0下载:
  2. this IEEE based Vhdl Project accumlator based 3-weight pattern-this is IEEE based Vhdl Project accumlator based 3-weight pattern
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15546410
    • 提供者:parashu
  1. EDA

    0下载:
  2. 关于万年历、音乐播放器、数字密码锁的VHDL编程-the file is about the clock,music player and the digital locker
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15537033
    • 提供者:何山峰
  1. EP2C20

    0下载:
  2. 基于FPGA的扫频仪的实现,已经通过测试,可用-FPGA-based implementation Scanner has passed the test can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15532714
    • 提供者:小倩
« 1 2 ... 87 88 89 90 91 9293 94 95 96 97 ... 4322 »
搜珍网 www.dssz.com