CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 34 35 36 37 38 3940 41 42 43 44 ... 4322 »
  1. manchesterforvhdl

    0下载:
  2. 这是一个曼彻斯特编解码的VHDL源代码,非常好,值得一看。-Manchester codec VHDL source code, a very good eye-catcher.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10874
    • 提供者:赵云
  1. PCIarbitration

    0下载:
  2. 这是PCI 仲裁机制的VHDL源码,它实现了PCI仲裁机制。-PCI arbitration mechanism VHDL source code, it achieved a PCI arbitration mechanism.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3753
    • 提供者:赵云
  1. PCI_target

    0下载:
  2. VHDL编写的PCI代码,PCI2.2兼容,Xillinx Virtex与Spantan II 优化,33M主频,32位宽度,全目标功能等.-prepared by the PCI VHDL code, PCI2.2 compatible Xillinx Virtex II and Spantan optimized route speed, 32-bit width, the whole objective functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:845501
    • 提供者:citybus
  1. GenericInterruptBlock

    0下载:
  2. VHDL语言编写的中断模块,是个一般性的设计,可以很容易修改到你自己的设计中去.-VHDL modules prepared by the interruption, is a general design, it is very easy to change your own design.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5562
    • 提供者:citybus
  1. usb_funct.tar

    0下载:
  2. usb_funct is used for usb with 400MHZ.-usb_funct is used for usb with 400MHz.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:197279
    • 提供者:彭强
  1. jpeg.tar

    0下载:
  2. JPEG is used for visual telephone and digital camera.-JPEG is used for visual digit telephone and al camera.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3415689
    • 提供者:彭强
  1. binary_to_bcd.tar

    0下载:
  2. binary_to_bcd is used for translating from binare to bcd.-binary_to_bcd is used for translating fro m binare to bcd.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45262
    • 提供者:彭强
  1. cpld-download-cable-tuzi

    0下载:
  2. cpld下载电缆的详细图纸及说明,244实现,稳定,速度不错。-cpld download cable detailed drawings and descr iptions, 244 realization, stable, good speed.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4285693
    • 提供者:mengzi
  1. detector

    0下载:
  2. 本程序实现8位序列检测的功能-the program eight Sequence Detection functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5443
    • 提供者:123
  1. vhdll

    0下载:
  2. 输入为8421BCD码,输出为8421BCD码。 程序中自动对输入进行转换,将8421BCD转换成余3码,然后采用修正函数实现加法,并且利用程序将加法结果转换成8421BCD码进行输出,且输出转换前后的中间结果。 -8421BCD code input and output for 8421BCD yards. Procedures for automatic input conversion, will be converted into 8421BCD I 3 yards, and
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43388
    • 提供者:oy
  1. timeconstraint

    0下载:
  2. VHDL编程中的时序约束问题,有两个PDF文件,讲的很详细,需要的立刻下载-VHDL programming timing constraints, there are two PDF documents, said very detailed, immediately download the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:315208
    • 提供者:cenvi
  1. FSKmodemodulateVHDLprogramme

    0下载:
  2. FSK调制与解调的vhdl源代码与仿真指导,是word文档打开。-FSK modulation and demodulation of VHDL source code and simulation of the guide is the word document open.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:52214
    • 提供者:吴涛
« 1 2 ... 34 35 36 37 38 3940 41 42 43 44 ... 4322 »
搜珍网 www.dssz.com