CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .18 .19 .20 .21 .22 4223.24 .25 .26 .27 .28 ... 4322 »
  1. 061118PS2IPCORE

    0下载:
  2. PS2的程序,是一个IPCORE,相信对于需要的人一定很有帮助-PS2 procedures, a IPCORE, and I believe the people will definitely need helpful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:27085
    • 提供者:fei0318
  1. suij

    0下载:
  2. 硬件编程实现伪随机交织器和随机交织器,应用环境Quartus II5.0-hardware programming pseudo-random interleaver and random interleaver, application environment Quartus II5.0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2400
    • 提供者:孟旭
  1. mlite.tar

    0下载:
  2. Plasma IP Core 你可以利用这个组件在FPGA中设计MIPS结构的CPU -Plasma IP Core You can use this component in FPGA design the structure of MIPS CPU
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100364
    • 提供者:xinyang
  1. MIT_MIPS_Core.tar

    0下载:
  2. 麻省理工的一个实验室实现的MIPS IP CORE,可以在FPGA上跑通 -a Massachusetts Institute of Technology laboratory achieved MIPS IP CORE, the FPGA can run on Link
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:28647
    • 提供者:xinyang
  1. autofir

    0下载:
  2. 自适应滤波器设计的仿真程序,完全用C语言编写,可以作为滤波器设计的参考。原为VHDL实验要求的程序。-adaptive filter design simulation program, complete with C language can be used as filter design reference. VHDL to the original requirements of the experimental procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:67324
    • 提供者:李博宁
  1. juanji2

    0下载:
  2. 用TI DSP汇编指令进行程序设计:“TIC54XDSP汇编程序设计-卷积-compiled using TI DSP Programming instructions : "TIC54XDSP compilation of program design-convolution
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42808
    • 提供者:李博宁
  1. pcm(8)

    0下载:
  2. 语音编码的VHDL源码,已经调试通过.压缩文件中包括调试过程代码.-speech coding VHDL source code, debugging has been adopted. Compressed files to include debugging code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:80607
    • 提供者:zhangruqi
  1. vhdlvga

    0下载:
  2. Language writes with VHDL demonstrates the design on the monitor the source program用VHDL 语言写的在显示器上显示图案的程序-writes with VHDL Language demonstrates th e design on the monitor program with the source VHDL The language was on display in the pictorial proc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:91638
    • 提供者:jerry
  1. Uart2

    0下载:
  2. uart的VHDL源代码,包括intface.VHD UART_RX_TAB.VHD UART_INT_TB.VHD等-uart VHDL source code, including intface.VHD UART_RX_TAB.VHD UART_INT_TB. Volume etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43732
    • 提供者:罗辉
  1. bujindianjiVHDL

    0下载:
  2. 步进电机定位控制系统VHDL程序与仿真波形.已经在xilinx ISE 8.1上验证.完全正确.-positioning stepper motor control system procedures and VHDL simulation waveform. Xilinx ISE has tested 8.1. Absolutely correct.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4965
    • 提供者:罗辉
  1. sampleVHDL

    0下载:
  2. 采样等精度测量的VHDL程序..在xilinx ISE 8.1上验证通过-sampling and other precision measurement of VHDL program. . In xilinx ISE tested through 8.1.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:123595
    • 提供者:罗辉
  1. vhdl_clock

    0下载:
  2. VHDL实现数字时钟,利用数码管和CPLD 设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。 以上实验的程序都在源代码中有详细的注释-VHDL digital clock, the use of digital control and CPLD design to achieve a number of counter clock, show hours, minutes and seconds. The procedure depends
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:324949
    • 提供者:赵海东
« 1 2 ... .18 .19 .20 .21 .22 4223.24 .25 .26 .27 .28 ... 4322 »
搜珍网 www.dssz.com