CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .98 .99 .00 .01 .02 4303.04 .05 .06 .07 .08 ... 4322 »
  1. DEMO21

    0下载:
  2. VHDL 在MAXPLUS环境下运行, 自动升降几-VHDL environment in the run, several automatic movements
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:464366
    • 提供者:liu
  1. 5v

    0下载:
  2. altra下载线资料。max7000系列,下载线-downloading information. Max7000 series, download cable
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:801191
    • 提供者:张书
  1. autosellmachine

    0下载:
  2. 用VHDL语言编写的自动售货机程序,下载到EDA实验板上可实现基本的买货售货找零显示总钱等功能。-VHDL prepared by the vending machine procedures, Experimental downloaded to EDA board can achieve basic placing orders showed total sales through irregular money functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:230132
    • 提供者:木林森
  1. Nios II处理器中文参考手册

    0下载:
  2. nios2软件开发手册中文版第8章_MicroC_OSII_tutorial,翻译的不错值得一看-nios2 software development manuals Chinese version of Chapter 8 _MicroC_OSII_tutori al translation of a true eye-catcher
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:259347
    • 提供者:wang
  1. 16点快速傅立叶变换 16位数据输入输出

    0下载:
  2. 16点快速傅立叶变换 16位数据输入输出-16:00 Fast Fourier Transform 16 input and output data
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:509465
    • 提供者:任金平
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. USB IPcore(带说明)

    0下载:
  2. USB IPcoreIP核,包含文档(带说明)-USB IPcoreIP nuclear contains documents (with the note)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:408813
    • 提供者:陈友荣
  1. lcd_controller

    0下载:
  2. CFAH1602BNYAJP液晶的fpga控制程序-CFAH1602BNYAJP they simply control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2377
    • 提供者:陈世利
  1. CatchCadCoordinate

    0下载:
  2. 捕获CAD中鼠表的位置坐标,用VS STADIO 开发 -catch mice CAD table position coordinates with the development of VS STADIO
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:355892
    • 提供者:hg
  1. Modelsim中文教程

    0下载:
  2. Modelsim中文教程,有3篇讲Modelsim的资料,对新手是个很好的参考资料-Modelsim Chinese guide, a three stresses Modelsim information, the rookie is a very good reference!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:971152
    • 提供者:温暖感
  1. 脉冲记时CPLD

    0下载:
  2. 工作原理: 脉冲输入,记录30个脉冲的间隔时间(总时间),LED显示出来,牵涉到数码管的轮流点亮,以及LED的码。输入端口一定要用个 74LS14整一下,图上没有。数码管使用共阴数码管。MAXPLUS编译。 测试时将光电门的信号端一块连接到J2口的第三管脚,同时第一管脚为地,应该与光电门的地连接(共地)。 开始测试: 按下按键,应该可以见到LED被点亮,指示可以开始转动转动惯量盘,等遮光片遮挡30次光电门后, LED熄灭,数码管有数字显示,此为时间值,单位为秒,与智
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:644978
    • 提供者:高颖峰
  1. 一个波形发生器和sine波形发生器

    0下载:
  2. 这是一个典型的正玄波发生器程序和一个任意波形发生器程序,大家可以参考学习,对于vhdl入门还是很有帮助的-This is a typical wave generator Shogen procedures and an arbitrary waveform generator procedures, Members can take a learning portal for VHDL or helpful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3444
    • 提供者:张云鹏
« 1 2 ... .98 .99 .00 .01 .02 4303.04 .05 .06 .07 .08 ... 4322 »
搜珍网 www.dssz.com