CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .96 .97 .98 .99 .00 4301.02 .03 .04 .05 .06 ... 4322 »
  1. EPP

    0下载:
  2. 并口的EPP协议,与外部的FIFO的empty,full信号共同控制数据传输-of EPP parallel port agreement with the external FIFO empty, full common control signal data transmission
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:943
    • 提供者:陈刚
  1. ModelSim_SE_6.1bkey

    0下载:
  2. ModelSim SE 6.1 (电子仿真)具体破解-ModelSim SE 6.1 (electronic simulation) Specific crack
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:228573
    • 提供者:卢峰
  1. Verilog_traffic

    0下载:
  2. Verilog 的交通灯的例子。源代码中有详细的注释。-Verilog traffic lights examples. The source code for detailed comments.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:123720
    • 提供者:徐勇
  1. alu_inverter

    0下载:
  2. 4bit ALU 利用vhdl语言编写的4位ALU 开发环境是在windows下-Band ALU using VHDL language prepared by the four ALU is a development environment under Windows
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18290
    • 提供者:bob
  1. LED七段译码

    0下载:
  2. 初次上传文件,采用文本格式编辑内容,不知道是否妥当,如有不便之处,敬清各位原谅。-initial upload documents using text format editorial content, I do not know whether they are appropriate, if any inconvenience, King - forgive me.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:803
    • 提供者:LJH
  1. arith_lib-1.0

    0下载:
  2. 包括所有常用算法:加权计算,进制转换,常用数据编码等,大约共有源代码80个。-include all commonly used algorithms : weighted basis, the base for the conversion, common data coding, source code, a total of about 80.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244386
    • 提供者:南郁星
  1. sale2

    0下载:
  2. sale,自动收获机。首先投币,然后买东西,然后退币-sale, automatic harvester. The first coin, and then buy something, and then coin
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1217
    • 提供者:杨小坤
  1. 66_FIR

    0下载:
  2. 这是一个VHDL写的FIR模块,我的编译环境是QuartusII 5.0-This is a VHDL modules written FIR, I compiler environment is Quartus II 5.0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8452
    • 提供者:佴立峰
  1. VHDL数学运算库1.0

    0下载:
  2. 这是一个VHDL写的数学运算的硬件设计库,还算比较完整-This is a VHDL write arithmetic hardware design basement, still relatively complete
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:247701
    • 提供者:佴立峰
  1. std_cf_2c35

    0下载:
  2. 这个是基于NIOS II的FPGA平台的一个CF卡的接口模块,是在Quartus II下的完整工程包-NIOS II FPGA platform a CF card interface module, Quartus II is the complete package works
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:399637
    • 提供者:佴立峰
  1. Visio-绘图21

    0下载:
  2. 这是asic流程例子.文件内容已经验证过.如有疑问和我联系-This is the process blends example. The contents of the documents has been proven. And I doubt if links
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19588
    • 提供者:john
  1. Example-2-1

    0下载:
  2. 这些是verilog的开发实例,仅供参考.实例1-These are examples of the development of Verilog, for reference purposes only. Example 1
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:114138
    • 提供者:john
« 1 2 ... .96 .97 .98 .99 .00 4301.02 .03 .04 .05 .06 ... 4322 »
搜珍网 www.dssz.com