CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .13 .14 .15 .16 .17 4118.19 .20 .21 .22 .23 ... 4322 »
  1. CPLD_FPGA

    0下载:
  2. 卡内基梅陇大学课程讲卡内基梅陇大学课程讲义-Carnegie Mellon University, Carnegie Mellon University about verilog verilog course lecture notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8108694
    • 提供者:胡刚
  1. IP

    0下载:
  2. ALTERAL的stratix4的IP核的使用讲解PPT,便于理解Stratix的IP核调用-The IP core stratix4 ALTERAL the use to explain the PPT, to facilitate the understanding the Stratix of IP core call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8113716
    • 提供者:lee
  1. temperature

    0下载:
  2. 用Verilog语言编写的基于FPGA实现的温度检测装置,检测精度为小数点后六位。-A temperature sensing device based on FPGA using Verilog language, the detection accuracy of six decimal places.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8115050
    • 提供者:高俊开
  1. 60s qiangdaqi

    0下载:
  2. 1.抢答器同时供N名选手,(此处假设4个)分别用4个按钮S0~?S3表示。? 2.设置一个系统“开始复位”开关S,该开关由主持人控制(当主持人按下该开关后以前的状态复位并且开始计时抢答)。?3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。?(1. Responder at the same time for N players, (here assumed
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:8116224
    • 提供者:drb
  1. 18b20_code

    0下载:
  2. 利用DS18b20温度传感器设计的温度计,温度值在数码管上显示。包括源代码,modelsim仿真与DS18B20相关PDF资料-Temperature sensor design use DS18b20 thermometer, the temperature value is displayed on the digital control. Including source code, modelsim simulation and DS18B20 information related t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8119619
    • 提供者:丛梦龙
  1. pipelined_computer

    0下载:
  2. 基于de2-board的汇编以及verilog的五段流水线CPU代码,适合新手学习-Based on the de2-board assembler, and the five-stage pipelined CPU verilog code, suitable for novice learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:8127062
    • 提供者:laoxu
  1. xilinx_edk_9.2_crack

    0下载:
  2. xilinx edk 9.2 破解器/注册机-xilinx edk 9.2 crack
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8130553
    • 提供者:石小磊
  1. 18b20display

    0下载:
  2. 中级篇04:18b20温度计,显示在数码管上适合新手简单学习,内含原理介绍等文件,吐血推荐-Intermediate chapter 04:18 b20 thermometer on the digital display is simple for novices to learn, including the principle of introduction and other documents, recommended blood
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8136441
    • 提供者:王大神
  1. LIP2131CORE_dram_controller

    0下载:
  2. LIP2131 CORE Verilog DRAM Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8137130
    • 提供者:jc
  1. FPGA_Examples

    0下载:
  2. 《FPGA嵌入式应用系统开发典型实例》-书的光盘资料,该资料是用VHDL语言编写,作者:叶淦华-" FPGA embedded applications typical example of system development" - the book' s CD-ROM, the information is written in VHDL, the author:叶淦China
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8141199
    • 提供者:LDP
  1. FPGA_Cyclone

    0下载:
  2. FPGA开发板的源文件,可以直接使用,非常简单-FPGA development board of the source file, you can directly use, very simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8145762
    • 提供者:zxinfa
  1. eda

    2下载:
  2. 南京理工大学EDA实验多功能数字钟+闹钟+dds+am调幅。-Nanjing University of EDA test multifunction digital clock+ alarm+ dds+ am AM.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8145804
    • 提供者:吴逸汀
« 1 2 ... .13 .14 .15 .16 .17 4118.19 .20 .21 .22 .23 ... 4322 »
搜珍网 www.dssz.com