CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .49 .50 .51 .52 .53 4154.55 .56 .57 .58 .59 ... 4322 »
  1. zhengyu

    0下载:
  2. 基于FPGA技术的等精度频率计设计代码,已通过调试-Based on FPGA technology, such as precision frequency meter design code has been through the debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:792
    • 提供者:郑宇
  1. fir

    0下载:
  2. 利用Verilog语言编写的FPGA作为数字fir滤波器的程序,在编译器中调试通过,可以作为模块调用。-the model of fir digital cr which is written of verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:792
    • 提供者:saul
  1. asyn_counter

    0下载:
  2. async counter,, test bench included-async counter,, test bench included..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:792
    • 提供者:harkirat
  1. 16latch

    0下载:
  2. 16位锁存器,此程序通过quartusII软件调试通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:791
    • 提供者:lvliangfei
  1. 8255int

    0下载:
  2. 8259芯片中断控制LED 扳动SP按钮 LED点亮或熄灭-8259 Interrupt Control LED flip-chip LED lit or extinguished SP button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:791
    • 提供者:pretty
  1. cic_dec_8_five

    0下载:
  2. CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频-CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:791
    • 提供者:王刚
  1. filer_pipeline

    0下载:
  2. 基于流水线的滤波器的设计与实现,verilog代码,xilinx,ISE,-Based on the assembly line of the design and realization of the filter, verilog code, xilinx, ISE,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:791
    • 提供者:洪依
  1. fsm

    0下载:
  2. fsmatically delete the directory of debug and release, so please do not put files o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:791
    • 提供者:chaitu
  1. 1221

    0下载:
  2. 频率设计,主要用VHDL来实现,是一个完整的课程设计,具有很好的通用性-Frequency design, the main use VHDL to implement, is a complete curriculum design, with good versatility
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:791
    • 提供者:shadow
  1. reset_module

    0下载:
  2. Reset control module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:791
    • 提供者:Jimmy
  1. syn_detc

    0下载:
  2. Verilog语言的同步帧检测模块,适用于pcm通信系统,本模块可检测的同步帧为100110-The synchronization frame detection module implemented use Verilog language,for pcm communication system, the module can detect synchronization frame for 10,011,011
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:791
    • 提供者:LEE
  1. ps2_FSM

    0下载:
  2. This program is used to describe the mouse function on the FPGA board and it is very useful for the beginner on the FPGA board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:791
    • 提供者:jiangtao
« 1 2 ... .49 .50 .51 .52 .53 4154.55 .56 .57 .58 .59 ... 4322 »
搜珍网 www.dssz.com