CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .87 .88 .89 .90 .91 4292.93 .94 .95 .96 .97 ... 4322 »
  1. clk_divide_3

    0下载:
  2. VHDL语言编写三分频,可以扩展实现任意奇数-VHDL prepared three frequency can be extended to achieve arbitrary odd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125177
    • 提供者:利津候
  1. verilogexperance

    0下载:
  2. verilog硬件描述语言进行开发的一些实际经验-Verilog hardware descr iption language for the development of some practical experience
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4895
    • 提供者:王磊
  1. ACCUME

    0下载:
  2. 强调Verilog代码编写规范,经常是一个不太受欢迎的话题,但却是非常有必要的。 每个代码编写者都有自己的编写习惯,而且都喜欢按照自己的习惯去编写-stressed Verilog code-writing norms, is often not a popular topic, but it is very necessary. Each has its own code writers in the preparation habits, but like their own habit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2778
    • 提供者:张鹏
  1. T65_v301

    0下载:
  2. 微处理器核源码 like 6050 单片机-source like nuclear microprocessor 6050 MCU
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:28653
    • 提供者:dong
  1. hiervhdl

    0下载:
  2. Using Hierarchy in VHDL Design vhdl语言初学者的天堂-Using VHDL Design VHDL language beginners paradise
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44244
    • 提供者:土木文田
  1. unicntr

    0下载:
  2. 通用寄存器的部分代码 LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY traffic IS PORT(clk,sm,sb:IN bit mr,my,mg,br,by,bg:OUT bit ) END traffic -part of the general purpose registers IEEE code LIBRARY USE traffic IEEE.STD_LOGIC_1164
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:137331
    • 提供者:土木文田
  1. T80_v300

    0下载:
  2. t80 vhdl source code -t80 VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109120
    • 提供者:dong
  1. 44vhdl

    0下载:
  2. 44个vhdl实例 注1: 含有不可综合语句,请自行修改 注2: 一些PLD只允许I/O口对外三态,不支持内部三态,使用时要注意 注3: 设计RAM的最好方法是利用器件厂家提供的软件自动生成RAM元件,并在VHDL程序中例化-44 VHDL examples Note 1 : Includes an integrated statement, the initiative to revise Note 2 : Some PLD only allows I / O exte
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44575
    • 提供者:土木文田
  1. 7_4859_1

    0下载:
  2. 卡内基梅陇大学verilog课程讲义,希望大家能够喜欢!-Verilog University of Paisley and Adams Carnegie Course Training Manual, we hope to love!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:235092
    • 提供者:张新
  1. CLKCP01

    0下载:
  2. 液晶显示器320*240脉冲实现,每出现12个clk出一个字节脉冲,每出现40个字节脉冲出一个行脉冲。240行结束出一个帧脉冲.-LCD 320 * 240 pulse realized there every 12 clk byte out a pulse, with each 40-byte burst out a pulse line. 240 firms from the end of a frame pulse.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1627
    • 提供者:楼龠冬
  1. tiaoping

    1下载:
  2. 条屏控制器的CPLD编程,主要完成移位寄存器、编码器和译码器的功能-screen controller CPLD programming, the major shift register, the encoder and decoder functions
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:410847
    • 提供者:阿九
  1. CPLDOGRAM

    1下载:
  2. 摘要: 文中介绍了数字频率计的结构、工作原理及计数方式,给出了基于VHDL语言的频率计系统的行为源描述,讨论了在VHDL的高级综合系统QuartusII的支持下,自顶向下地进行传输模块的设计工程,并给出了系统的仿真波形以及其应用实践。-Abstract : This paper introduces a digital frequency of the structure and working principle and counting, is based on VHDL Frequency
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5918
    • 提供者:李越
« 1 2 ... .87 .88 .89 .90 .91 4292.93 .94 .95 .96 .97 ... 4322 »
搜珍网 www.dssz.com