CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .68 .69 .70 .71 .72 32573.74 .75 .76 .77 .78 ... 33645 »
  1. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线

    1下载:
  2. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线,用fpga做小数运算,还有就是流水线技术 -can YCrCb2RGB integrated module (Verilog) _ used three lines, they simply do with fractional arithmetic, there is pipelining technology
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1080
    • 提供者:于飞
  1. 自定义逻辑PWM的例子

    1下载:
  2. 是一个用vhdl语言编写的pwm程序,可以方便地用来和nios连接,实现对nios的功能扩展。-is a VHDL language with the PWM procedures can be used to facilitate connections and nios, nios to achieve a functional extension.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10952
    • 提供者:石坚
  1. ref-sdr-sdram-vhdl

    1下载:
  2. DDR控制器的VHDL源代码.采用FPGA实现DDR接口控制器,适用于Altera的FPGA,最高频率可到100M-DDR controller VHDL source code. Using FPGA DDR interface controller, applicable to Altera FPGA, the highest frequency available 100M
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:776642
    • 提供者:张涛
  1. PINPAN

    1下载:
  2. 乒乓游戏 ,led流水灯控制乒乓球,按键控制甲方已方操作。详细说明看readme-ping-pong game, led lights to control water table tennis, has been chosen to control keys to operate. Details see readme
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4441
    • 提供者:张建
  1. 8位相位相加乘法器

    1下载:
  2. 8位相 加乘法器,具有高速,占用资源较少的优点-eight multiplier phase together with high-speed, taking up less resources advantages
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4803
    • 提供者:张建
  1. altera的IP源码

    1下载:
  2. Altera的IP源码8259,只需打开就能实现-Altera IP source 8259, will be realized only open
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:149399
    • 提供者:王天权
  1. CORDIC

    1下载:
  2. 用verilog写的CORDIC算法实现,很适合做超越函数的运算。通常用于实现正弦乘法,或者坐标变换。-The cordic arithmetic implemented by verilog is adapted to exceed function.It is usually used to implement sine multiplication or coordinate tuansform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4108
    • 提供者:diskmps
  1. Verilog2C++

    1下载:
  2. 将Verilog代码转换成C++代码的软件,C源代码。-soft for changing Verilog code to c++ code ,c code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:41899
    • 提供者:kata
  1. VHDL 程序举例

    1下载:
  2. VHDL经典编成程序。有大概100个程序。包括键盘扫描等。- these are typical program of VHDL.there are almost 100 pieces of program.including program about keyboard scanning.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:69024
    • 提供者:林玉儿
  1. I2C总线控制器 Xilinx提供

    1下载:
  2. 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-I2C bus contrll functions implemented by Verilog HDL.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:889991
    • 提供者:司法
  1. intro_to_quartus2_chinese

    1下载:
  2. 介绍quartus II 汉语教程,非常难得,-A Chinese introduction to quartus II.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3089317
    • 提供者:石峰
  1. Convolutional encoding and Viterbi decoding with k

    1下载:
  2. 卷积码编码和维特比解码 当K为7 时 供大家参考Convolutional encoding and Viterbi decoding with k 7 rate 1 2 -convolutional coding and Viterbi decoding when K 7:00 for reference convolutional encoding and Viterbi decoding with k 1 2 7 rate
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:254299
    • 提供者:周小川
« 1 2 ... .68 .69 .70 .71 .72 32573.74 .75 .76 .77 .78 ... 33645 »
搜珍网 www.dssz.com