CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .86 .87 .88 .89 .90 32791.92 .93 .94 .95 .96 ... 33645 »
  1. LVDS_SRC

    1下载:
  2. 实现LDVS接口数据接收 含有协议结构以及处理-lvds Verilog 512 frame
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:443980
    • 提供者:王长友
  1. ISCAS-85

    1下载:
  2. 包括很多格式的85基准电路,不需手动转换,可以供学者自行选用。-This resource including many formats 85 banchmack circuit, without manual conversion, you can choose for the scholar.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:676387
    • 提供者:刘晓红
  1. DDR3-SDRAM-Verilog-Model

    1下载:
  2. 官方网站的verilog语言描写的ddr3 sdram仿真模型。各种型号可选。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:70851
    • 提供者:刘建
  1. LTC1407

    1下载:
  2. 针对ADC器件LTC1407的时序编写的Verilog程序,经过实际测试成功,简单实用-According to the timing of ADC device LTC1407, Verilog program, after the actual test success, simple and practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1130
    • 提供者:leon
  1. dadishu_v1

    1下载:
  2. VHDL实现简单打地鼠游戏机,北邮数电实验-VHDL simple playing hamster games, BUPT number of electric experiment
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-26
    • 文件大小:84618
    • 提供者:尚威
  1. sswcsz3

    1下载:
  2. VHDL实现猜数字游戏,北邮数电实验,功能齐全,有伪随机-VHDL realization guessing game, BUPT number of electric experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-02
    • 文件大小:1363968
    • 提供者:尚威
  1. pinlvji

    1下载:
  2. 频率计 测量范围1-100MHz 测量阈值0.1s 计数部分为FPGA/CPLD 语言VHDL 显示部分为51 单片机加八位数码管 语言C-Frequency meter Measuring range 1-100 MHZ Measure threshold is 0.1 s Count part of FPGA/CPLD Language VHDL Display part of 51 MCU with eight digita
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:553759
    • 提供者:冉凯
  1. ZX_SOPC0

    1下载:
  2. 基于FPGA的DDS信号源设计 1.输出信号为正弦波、三角波及脉冲 2.信号幅度可调,范围:1V~5V 3.调幅步长:10mV 4.信号频率为低频:10HZ~1MHZ 5.频率调节步长10HZ~100HZ频段为1HZ,100HZ~1kHZ频段为10HZ,1KHZ~1MHZ频段为100HZ 6.频率调节方式通过键盘输入 7.运用LCD显示信号的类型、幅度、调频步长、调幅步长-DDS source FPGA-based design 1. The output sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9057368
    • 提供者:陈勒
  1. fft1024-verilogCODE

    1下载:
  2. fft 1024点verilog代码,适用于基-4的FFT算法描述,使用quartus,modelsim,-fftpoint 1024 verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:52445
    • 提供者:tao
  1. renyi

    1下载:
  2. 基于FPGA的任意波形发生器的设计源程序-Achieve arbitrary waveform generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2173
    • 提供者:果果
  1. QPSK

    1下载:
  2. modelsim环境下QPSK解调电路的仿真-modelsim simulation environment under QPSK demodulation circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:703
    • 提供者:盛红军
  1. SPWM-pulse-control-program

    1下载:
  2. fpga程序,用于逆变器的SPWM控制,有保护程序,包括脉冲闭锁保护,有注释,非常好用-fpga program for PWM inverter control, there are savers, including pulse lockout protection, notes, very easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1690
    • 提供者:韩季晨
« 1 2 ... .86 .87 .88 .89 .90 32791.92 .93 .94 .95 .96 ... 33645 »
搜珍网 www.dssz.com