CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .69 .70 .71 .72 .73 33374.75 .76 .77 .78 .79 ... 33645 »
  1. can_pci

    2下载:
  2. 四通道CAN控制器的实现代码,可实现EP3C25F324控制4路SJA1000芯片,并在FPGA内部实现对SJA1000的初始化过程-source code for an four channel CAN controller in FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18458222
    • 提供者:zyf
  1. CFO

    2下载:
  2. zedboard/AD9361平台进行无线收发,在接收端进行频偏估计和补偿的Verilog参考代码。-zedboard/AD9361 platform for wireless transceiver, the receiver frequency offset estimation and compensation, you can refer to the Verilog code.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-24
    • 文件大小:1003
    • 提供者:何晨光
  1. myfpga

    2下载:
  2. 这个是经典的FPGA的相关的乘法器,除法器的代码,还有别的可用的资料,都是网络上攒的,并且真的是非常经典-This is a classic of the relevant multiplier divider FPGA code, as well as other available information, are saved on the network, and really is very classic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:914167
    • 提供者:lihui
  1. value_to_ascii

    2下载:
  2. 使用Verilog HDL 进行数值与字符ASCII码的转化,实现串口正确显示字符,编程环境Quartus -Use Verilog HDL to numerically with ASCII characters transformation, realize serial display character correctly, Quartus ii programming environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2865619
    • 提供者:张鑫
  1. FM_T

    2下载:
  2. 一个简单的FM调制模块,FM发射,用Verilog编写,基于Xilinx SPARTAN6 XC6LX9开发-A simple FM modulation modules for FM transmitter, using Verilog prepared, based on XILINX SPARTAN6 XC6LX9 Development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1205248
    • 提供者:郭永峰
  1. Quadrature-modulate-design

    2下载:
  2. FPGA正交调制设计Verilog程序代码-FPGA Orthogonal modulation design procedure code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:10240
    • 提供者:吴汉
  1. basys3_basic_demo

    2下载:
  2. Basys 3 开发板的自带程序,包括LED 数码管 按键 鼠标等各项功能的演示。-Basys 3 development board comes with the program, including the LED digital control buttons and other functions of the mouse.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:343766
    • 提供者:罗密
  1. ddr_top

    2下载:
  2. verilog语言ddr3读写程序,axi总线协议,用于ddr3读写测试-ddr3 read and write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1585
    • 提供者:冯鲲鹏
  1. fifo_mem

    2下载:
  2. 同步FIFO,IP核生成ram,已验证可用。-Synchronous FIFO, IP core generation ram, verified available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-16
    • 文件大小:1024
    • 提供者:Devin
  1. matrix-inversion

    2下载:
  2. 基于Systolic的上三角矩阵求逆的实现,含有详细的verilog代码,并给出详细的注释-upper triangular matrix inversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:136084
    • 提供者:孙永林
  1. CoreUartTest

    2下载:
  2. Actel FPGA UART 串口通信模块,调用Actel CoreUART IP核实现。已在Microsemi Actel FPGA A3PE1500 硬件验证通过。-Actel FPGA UART serial communication module, call Actel CoreUART IP core implementation. Verified by Microsemi Actel FPGA A3PE1500 hardware.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:837086
    • 提供者:
  1. cpri

    2下载:
  2. 基于verilog的cpri接口代码,支持各种速率自由切换,量产产品实际应用代码-Cpri interface based on verilog code, support various rate free switch, production products the actual application code
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-09
    • 文件大小:120179
    • 提供者:小刚
« 1 2 ... .69 .70 .71 .72 .73 33374.75 .76 .77 .78 .79 ... 33645 »
搜珍网 www.dssz.com