CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .87 .88 .89 .90 .91 4192.93 .94 .95 .96 .97 ... 4322 »
  1. fpga_mcu

    0下载:
  2. fpga_mcu,FPGA与单片机通信接口程序(Fpga_mcu, FPGA and MCU communication interface program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:1024
    • 提供者:孤峰问天
  1. I2C_TEST

    0下载:
  2. I2C接口读写,包含仿真文件,已经完成验证。(I2C interface reading and writing)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:320512
    • 提供者:wwpppmm
  1. hld

    0下载:
  2. 实现红绿灯功能,增加PASS功能,使红灯强制复位到3s倒计时(Realize the function of the traffic light, increase the PASS function, make the red light reset to the 3S countdown)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:108544
    • 提供者:qazwsx111
  1. 芯创电子手把手教你学习FPGA—FPGA基础篇

    0下载:
  2. FPGA学习资料,星创电子的,入门教程(FPGA learning materials, Star Electronics, tutorial)
  3. 所属分类:VHDL/FPGA/Verilog

  1. simulink

    0下载:
  2. dfhfghfghdfhfdghfghfghfh
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:169984
    • 提供者:sasan
  1. 志哥QQ消息群发器v1.0.0正式版

    0下载:
  2. 最快将会打开撒好看的贺卡和贷款还款两件大事拉拉手打口水都快的飒沓dad12大大(DDDWADSDDSADSADASDSADASDASDSADASDSDAD)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:875520
    • 提供者:122366
  1. 用VHDL设计移位寄存器

    0下载:
  2. 实现序列检测,让你通过VHDL语言实现序列数字的发生(Sequence detector code)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 方波产生

    0下载:
  2. 设计一个方波产生电路,并进行功能验证和时序验证。(A square wave generation circuit is designed, and function verification and time series verification are carried out.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:30720
    • 提供者:孟之繁
  1. lesson1

    0下载:
  2. 这是一个关于流水灯的小程序,用C语音写的(This is a small program about running water lights, written in C voice)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:10240
    • 提供者:Ines.J
  1. segscan数码管扫描

    0下载:
  2. 实现了fpga开发版对应按钮控制的数码管扫描(The realization of the FPGA development version of the corresponding button control digital tube scanning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:343040
    • 提供者:amunra03
  1. matrix点阵

    0下载:
  2. 本代码实现了fpga开发板上的点阵开机自检以及点阵扫描(This code realizes the dot matrix opening self-examination on the FPGA development board and the dot matrix scanning to realize the digital tube scanning of the corresponding button control of the FPGA development Edition)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:328704
    • 提供者:amunra03
  1. AD_verilog

    0下载:
  2. TCL549芯片模拟信号转数字信号,并通过数码管显示出来(TCL549 chip analog signal to digital signal, and display through the digital tube)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:pomielunwu
« 1 2 ... .87 .88 .89 .90 .91 4192.93 .94 .95 .96 .97 ... 4322 »
搜珍网 www.dssz.com