CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .74 .75 .76 .77 .78 4179.80 .81 .82 .83 .84 ... 4322 »
  1. Clock

    0下载:
  2. VHDL语言编写的数字时钟程序,包括硬件设计的芯片管脚分配和功能代码等。功能包括时间的设定和显示。-VHDL language digital clock procedures, including hardware design, the chip-pin assignment and functional code. Features include time setting and display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11392900
    • 提供者:木子
  1. eda_files

    0下载:
  2. 利用SPOC builder 建立系统进而在这个硬件基础之上进行NIOS系统编程实现一些简单的小程序。-it is very easy ,you can leran it very fast.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11404065
    • 提供者:刘经龙
  1. ram_2

    0下载:
  2. 双端口RAM,可读,可写,用Verilog编写。希望与大神交流,求大神指正。(Dual port RAM, readable and writable, written in Verilog. Hope to communicate with great God, ask God to correct me)
  3. 所属分类:VHDL/FPGA/Verilog

  1. AD_DA_Chip_test_program

    0下载:
  2. AD DA芯片测试程序 (开发环境keilC51+Quartus7.2)-AD DA Chip test program (Developmentenvironment: keilC51+Quartus7.2)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11416828
    • 提供者:ch
  1. FPGA_LED

    0下载:
  2. NIOS II上实现,包含led的的控制verilogHDL,原理图的设计等等,直接用nios II打开就可以使用-NIOS II achieve control of verilogHDL contain led, schematic design, etc., directly nios II can be used to open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11425232
    • 提供者:朱阿伦
  1. d_clock

    0下载:
  2. 基于QUARTUSII,电子时钟,可用,VHDL以及原理图。-Based QUARTUSII, electronic clock, available, VHDL and schematic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11431697
    • 提供者:barbossa
  1. hf_mot

    0下载:
  2. 电机驱动及编码器同步采样,内部兼具多重滤波采样处理算法。(Motor drive and encoder synchronous sampling, the internal multi filter sampling and processing algorithm.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:11441152
    • 提供者:he
  1. Logic-analyzer

    0下载:
  2. 一个逻辑分析仪的开发源码,包括单片机FPGA的所有程序,以及硬件的原理图。-A logic analyzer source development, including single-chip FPGA All Programs, and hardware schematics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11459286
    • 提供者:
  1. FPGA-for-greenhand

    0下载:
  2. 本资料适合fpga初学者入门使用,包括:fpga设计资料大全,fpga的交流电机控制,fpga的中文培训教程-This information is suitable for beginners FPGA portal, including: fpga Design Sourcebook, fpga of AC motor control, fpga training course in Chinese
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11461406
    • 提供者:zhanggazi
  1. 150M

    0下载:
  2. quartus_nios 综合开发平台,可以多中断,重要的是它的cpu可以工作在150M,总线工作在100M×32bit;-quartus_nios comprehensive development platform that can interrupt more important is that it' s cpu can operate at 150M, bus work in 100M × 32bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11462542
    • 提供者:戚栋
  1. xapp1052

    0下载:
  2. ML605开发版 生成IP核的时候选择250MHZ pcie2.0 X4 5Gb/s 其他参考PDF文档。(When the ML605 development version generates the IP kernel, select 250MHZ pcie2.0 X4 5Gb/s Other reference PDF documents.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:11467776
    • 提供者:herryhu
  1. DMASU

    0下载:
  2. nios中DMA数据传输方式的实例化程序-nios in the DMA data transfer mode of instantiation process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11473989
    • 提供者:际宝张
« 1 2 ... .74 .75 .76 .77 .78 4179.80 .81 .82 .83 .84 ... 4322 »
搜珍网 www.dssz.com