CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .55 .56 .57 .58 .59 4160.61 .62 .63 .64 .65 ... 4322 »
  1. fifo_sync

    0下载:
  2. 脉冲同步电路,简单修改就可以使用,很使用的.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:781
    • 提供者:李立凯
  1. mm1

    0下载:
  2. 基于随机数组中的最大值与最小值的选择器,可自由设定输出时钟和数组大小-Maximum and Minimum Value Selector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:780
    • 提供者:li yinjun
  1. TXD

    0下载:
  2. TxD - simple RS232 transmitter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:780
    • 提供者:Max
  1. myfir

    0下载:
  2. fir滤波器的源代码 基于乘法器结构的线性相位滤波器-The source code for fir filter structures based on linear phase filter multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:780
    • 提供者:雪天使
  1. second

    0下载:
  2. 0-99秒表数码管显示,有停止,启动功能-0-99 stopwatch digital display, a stop-start function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:780
    • 提供者:duzhenhua
  1. testad

    0下载:
  2. 此模块是FPGA系统中的指示模块,可分别指示系统的正常工作,程序烧写,工作模式,等状态,控制5个LED的状态来达到指示系统工作的目的-This module is the instructions in the FPGA system module, can the normal work of the indicator system respectively, burn written procedures, work patterns, such as state, control the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:780
    • 提供者:shujian
  1. FSKmodulation

    0下载:
  2. 利用硬件描述语言VHDL实现的数字信号FSK调制-A VHDL program to realize the FSK modulation of digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:779
    • 提供者:yuanzongliang
  1. DATA

    0下载:
  2. 8位输出端口模块,可用于配置在FPGA中,verilog语言编程实现-8-bit output port modules can be used to configure the FPGA in, verilog language programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:779
    • 提供者:王羽翾
  1. counter_decrement

    0下载:
  2. counter which counts from 15 to 0,15 to 1 ,15 to 2 similarly till 15 to 15
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:779
    • 提供者:kavya
  1. Proj

    0下载:
  2. verilog/vhdl 串行口232通信程序-Spartan3E开发板调试通过-verilog/vhdl serial port communication program-Spartan3E 232 development board debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:779
    • 提供者:xxit
  1. vhdl1

    0下载:
  2. mesure de la largeur d une impulsion en vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:778
    • 提供者:imed
  1. Adder12_4-3

    0下载:
  2. This an 12 bits adder in Verilog. it adds four 3 bit nibbles in parallel.-This is an 12 bits adder in Verilog. it adds four 3 bit nibbles in parallel.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:778
    • 提供者:Feri
« 1 2 ... .55 .56 .57 .58 .59 4160.61 .62 .63 .64 .65 ... 4322 »
搜珍网 www.dssz.com