CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .70 .71 .72 .73 .74 4275.76 .77 .78 .79 .80 ... 4322 »
  1. crc16

    0下载:
  2. crc16 module for SDIO DAT line calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:590
    • 提供者:kantengri
  1. IIR_Filter

    0下载:
  2. 一个简要的低通滤波程序IIR Filter QuartusII7-IIR Filter QuartusII7
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:590
    • 提供者:张然
  1. counter

    0下载:
  2. vhdl code for counter
  3. 所属分类:VHDL-FPGA-Verilog

  1. clk_generator

    0下载:
  2. 时钟分频代码,PWM产生 RTL 源代码。-clock divider,PWM generator RTL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:590
    • 提供者:zengshengjin
  1. d_ff_cout_tb

    0下载:
  2. D FLIP FLOP TEST BENCH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:590
    • 提供者:pranav ette
  1. seg

    0下载:
  2. 数码管显示(verilog) 自己写的 在数码管上显示01234567 动态显示-Digital LED display (verilog) himself wrote in the digital tube display 01234567 dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:589
    • 提供者:Along
  1. adder16_2

    0下载:
  2. 16位2级流水线加法器的Verilog设计-16 2 pipeline adder Verilog Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:589
    • 提供者:xiaobai
  1. transpose_buffer

    0下载:
  2. verilog source code for transpose buffer 8x8 matrics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:589
    • 提供者:abanuaji
  1. testmult_top

    0下载:
  2. TESTBENCH测试程序,小数加法器的实现,小数位设为2位,将其小数位与整数位分别显示出来。-TESTBENCH test procedures, the implementation of decimal adder, is set to two decimal places, its decimal places, respectively, with the integer-bit display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:589
    • 提供者:李冰
  1. FIR

    0下载:
  2. 10阶的F.I.R滤波器设计的 verilog代码-Verilog code for the 10-order FIR filter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:589
    • 提供者:lubianke
  1. div

    0下载:
  2. 两个3位二进制数的除法,结果(整数商)输出到数码管显示-verilog multply
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:589
    • 提供者:晓珊
  1. ram

    0下载:
  2. vhdl code for simple ram block
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:589
    • 提供者:sanket
« 1 2 ... .70 .71 .72 .73 .74 4275.76 .77 .78 .79 .80 ... 4322 »
搜珍网 www.dssz.com