CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .77 .78 .79 .80 .81 32682.83 .84 .85 .86 .87 ... 33645 »
  1. Xilinx

    1下载:
  2. 非常详细的介绍了ISE软件的使用,以及如何生成可烧录文件,如何将烧录文件下载到Xilinx开发板,非常傻瓜式的操作。-Very detailed descr iption of the ISE software to use, and how to generate a recordable document, how to burn files downloaded to the Xilinx development board, a very fool-operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2612943
    • 提供者:
  1. Top_SD

    1下载:
  2. 基于FPGA的SD卡驱动程序,简单的读写模块-FPGA-based SD card driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6139664
    • 提供者:DAMU
  1. ADPCMEncoder

    1下载:
  2. ADPCM encoder with ICON, VIO, ILA, working on Xilinx ISE and chipscope.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1489
    • 提供者:DANIEL PAN
  1. Cordic-VHDL

    1下载:
  2. Cordic算法的VHDL实现,可作为函数调用的代码,节省自己的设计时间-Cordic algorithm VHDL implementation of the code as function calls, saving their own design time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:218323
    • 提供者:赵擎天
  1. pwm_sine2

    1下载:
  2. pwm_sine2实现了基于PWM 的正弦脉宽调制,输入到低通滤波器后,输出就是正弦 波-pwm_sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9397723
    • 提供者:zhj
  1. Quartus

    1下载:
  2. Quartus程序是滤波器+功率检测+相关计算+TDD时隙切换,从滤波输出的过采样信号中随机指定输出其中的一路信号输出用来做功率检测和相关计算,相关计算完全采用串行计算比较的方式得到最大值,然后根据这个最大值的位置推算出上、下行时隙的切换点位置。-Filter+ Quartus program is related to computing power detection++ TDD time slot switch, from the filtered output signal over a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:46477
    • 提供者:郑志龙
  1. PID

    1下载:
  2. 用VHDL语言来实现PID算法,文件包含了仿真的所有结果-VHDL language used to implement PID algorithm, the file contains all the simulation results. . .
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-21
    • 文件大小:1076289
    • 提供者:陈小林
  1. mcu-fpga

    1下载:
  2. 目录 FPGA & MCU 开发板介绍 实验1 QuartusII 软件应用 实验2 Keil C51 应用 实验3 字符型LCD YM1602 的应用 实验4 带字库的中文LCD YM12864 的应用 实验5 时钟芯片DS1302 的应用 实验6 I2C 总线器件AT24C64 的应用 实验7 数字温度传感器的应用 实验8 行列式键盘 实验9 硬件电子琴的设计 实验10 AD 与DA 的使用 实验11 简易DDS 信号源设计 实验12 用模
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-02
    • 文件大小:1640448
    • 提供者:lyy
  1. SRAM_16Bit_512K

    1下载:
  2. FPGA,片外SRAM配置文件,开发板中带的文件-FPGA, off-chip SRAM configuration file,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:6163
    • 提供者:david
  1. CLOCK-ON-ALTERA-DEV-NOARD-RONTEX

    1下载:
  2. 这是我上电子线路设计课程时自己写的数字钟设计的整个工程.网上下载安装quartus II软件后双击clock.sof打开调试.若软件说没有权限,请删除db文件夹后再试. 文件夹中附带我的实验报告,其中详细讲解了我的设计思路\软件架构\可能出现的问题等等. 调试步骤就不讲了,管脚分配请网友自行完成. 开发板 Altera Cyclone II EP2C35F672C6 软件平台 Quartus II 语言 verilogHDL-These are all the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:995738
    • 提供者:needtobestrong
  1. MUX16

    1下载:
  2. 基于VerilogHDL的简易的16位以为累加乘法器,包括乘法器模块和测试模块,已经通过仿真测试。-Based on the simple VerilogHDL that the cumulative 16-bit multiplier, including the multiplier module and test module has been tested by simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:904
    • 提供者:lacrimosa
  1. SMBus_xapp353

    1下载:
  2. SMBUS vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1088318
    • 提供者:taiwan
« 1 2 ... .77 .78 .79 .80 .81 32682.83 .84 .85 .86 .87 ... 33645 »
搜珍网 www.dssz.com