CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .75 .76 .77 .78 .79 33380.81 .82 .83 .84 .85 ... 33645 »
  1. W5300_IF

    2下载:
  2. 实现FPGA与W5300 芯片的百兆以太网通信 ,实际项目中应用很多(Fast Ethernet communication between FPGA and W5300 chip, the actual project in many applications)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:4096
    • 提供者:bug赵
  1. 4Bit超前进位加法器门级电路设计与仿真

    2下载:
  2. 用门级网表的方法对4Bit超前进位加法器门级电路连接关系用verilog语言进行描述(The connection relation of the gate level circuit of 4Bit carry adder is described in Verilog language with the method of gate level netlist)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:150528
    • 提供者:tingyumian
  1. help_lib

    2下载:
  2. 1.JESD204B协议 2.Xilinx的JESD204B phy 核手册 3.Xilinx的JESD204B rx_tx 核手册7.1 4.Xilinx的JESD204B rx_tx 核手册7.2 5.verilog实现串口发送(1.JESD204B protocol 2.Xilinx JESD204B PHY core manual 3.Xilinx JESD204B rx_tx core manual 7.1 4.Xilinx JESD204B rx_tx core man
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:7014400
    • 提供者:Nanke42
  1. temper_test

    2下载:
  2. 通过Verilog实现用DS18B20温度传感器用MAX7219驱动8个数码管显示。(MAX7219驱动程序也在里面)。实验用EP4CE22F17芯片实现。(Through Verilog realize with DS18B20 temperature sensor, drive 8 digital tube display with MAX7219. (the MAX7219 driver is also inside). Experiment with EP4CE22F17 chip.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:4236288
    • 提供者:y1a2n3g4
  1. sdram_control

    2下载:
  2. SDRAM控制器 带仿真模型文件 仿真通过(Simulation model file simulation through SDRAM controller)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:2828288
    • 提供者:Deanxiao
  1. ADC_Data_Recv_Module

    2下载:
  2. 接收机测试输入信号, 生成正余弦波,采样率、频率、幅度、相位可调节 并将生成的数据进行输出 压缩包包括Verilog代码、testbench代码、word文档 matlab仿真代码(The receiver tests the input signal, Generation of positive cosine wave, sampling rate, frequency, amplitude, phase can be adjusted And output the generated da
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:512000
    • 提供者:nokkk
  1. CIC_Filter_Module

    2下载:
  2. 数字接收机cic抽取模块 抽取倍数可以选择 包括verilog代码 word文档 matlab仿真 testbench代码(CIC decimation module of digital receiver Extraction multiple can be selected Including Verilog code Word document Matlab simulation Testbench code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:3013632
    • 提供者:nokkk
  1. flybird

    2下载:
  2. 在开发板EGO1上实现的小鸟游戏,有详细地模块说明,使用vivdao平台实现(Bird board game on the development board EGO1, a detailed module descr iption, the use of vivdao platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:522240
    • 提供者:a10954
  1. FPGA verilog代码

    2下载:
  2. ad转换模块hx711用FPGA的驱动实现(hx711 FPGA aaaaaaaaaaaa)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:389120
    • 提供者:棨戟kv
  1. 1

    2下载:
  2. 设计一个用于篮球比赛的定时器。要求: (1)定时时间为24秒,按递减方式计时,每隔1秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,开关K1控制定时器的直接复位/启动计时,开关K2控制定时器的暂停/连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为50MHz.(Design a timer for a basketball match. Requirement
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:23552
    • 提供者:LIMBO2K
  1. 2

    2下载:
  2. 设计一个具有时、分、秒计时的电子钟,按24小时计时。要求: (1)数字钟的时间用六位数码管分别显示时、分、秒; (2)用两个控制键,对数字钟分别进行分、时校正; (3)具有仿广播电台整点报时的功能。即每逢59分51秒、53秒、55秒及57秒时,发出4声500Hz低音,在59分59秒时发出一声1kHz高音,它们的持续时间均为1秒。最后一声高音结束的时刻恰好为正点时刻。 (4)具有定时闹钟功能,且最长闹铃时间为1分钟。要求可以任意设置闹钟的时、分;闹铃信号为500Hz和1kHz的方波信号,两
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:52224
    • 提供者:LIMBO2K
  1. 基于FPGA开发的DTMF信号的产生

    2下载:
  2. 用verilog写的,是基于FPGA的开发,已经成功的仿真过。(Written in Verilog, has been simulated.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:969728
    • 提供者:阳光1224
« 1 2 ... .75 .76 .77 .78 .79 33380.81 .82 .83 .84 .85 ... 33645 »
搜珍网 www.dssz.com