CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .56 .57 .58 .59 .60 4261.62 .63 .64 .65 .66 ... 4322 »
  1. vga_driver

    0下载:
  2. 使用CycloneIV,驱动800*600*60hz的VGA显示标准,将彩色图片存储在ROM中,最后动态读取到标准液晶显示器里。(Using CycloneIV, it drives the VGA display standard of 800*600*60hz, stores the color pictures in ROM, and finally reads it into the standard liquid crystal display.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:8998912
    • 提供者:CycloneIV
  1. AD5683 Driver

    0下载:
  2. AD5683 16位高精度DAC的FPGA程序,采用Verilog语言编写(AD5683 16 bit high precision DAC FPGA program, written in Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:2048
    • 提供者:swordyan
  1. EXERCISE5

    0下载:
  2. 开发板程序 程序练习5 如何使用晶体管显示数字(mbed how to use display to creat figure 0-9)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:12288
    • 提供者:KINJAZ
  1. DE2-115引脚分配

    0下载:
  2. DE2-115引脚分配说明,使用时查找十分方便。(DE2-115 pin assignment descr iption)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:28672
    • 提供者:MGGM
  1. OpenMIPS

    0下载:
  2. 《自己动手做CPU》书后源码 包含各章节实例 分节使用(source code of mips CPU)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:33754112
    • 提供者:麻麻辣
  1. rom_test

    0下载:
  2. 基于SPARTAN6 的ROM读写内容,Verilog语言,完整工程(SPARTAN6 based ROM reading and writing content, Verilog language, complete engineering)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:5165056
    • 提供者:天上是人间
  1. lmf

    1下载:
  2. 在ISE下,FPGA产生线性调频信号,并且产生信号的参数可调(In ISE, the FPGA generates a linear frequency modulation signal, and the parameters of the signal are adjustable.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. ADC的核心指标(SINAD,ENOB等)

    0下载:
  2. ADC采样性能分析,核心指标等。及一些测试方法。(Analysis of ADC sampling performance)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:66560
    • 提供者:qeer
  1. 3.led

    0下载:
  2. 自己根据教程编写的led程序,在电路板已经验证过,功能正常(According to the LED program compiled by the tutorials, it has been verified on the circuit board, and the function is normal.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:718848
    • 提供者:hohohei
  1. SOPC_LED

    0下载:
  2. 在FPGA上建立一个简单的SOPC系统,实现LED演示功能(Building a simple SOPC system on FPGA,Implementation of LED demo function.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:2827264
    • 提供者:surseaman
  1. RFID

    0下载:
  2. 用于对单片机上RFID模块的驱动,VHDL语言,硬件编程。(It is used to drive the RFID module on single chip microcomputer, VHDL language and hardware programming.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:125952
    • 提供者:CCmy
  1. vivado2017_xpogod

    0下载:
  2. vivado 2017 开发软件下载,需要的朋友可以拿去使用,(Vivado 2017 development software downloads, the need of friends can be used)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:5143552
    • 提供者:lambor_181
« 1 2 ... .56 .57 .58 .59 .60 4261.62 .63 .64 .65 .66 ... 4322 »
搜珍网 www.dssz.com