CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .01 .02 .03 .04 .05 4306.07 .08 .09 .10 .11 ... 4322 »
  1. test1

    1下载:
  2. 该程序实现的是一个比较器,输入两个数字,进行比较,将结果输出(The program implements a comparator that inputs two numbers, compares them, and outputs the results.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-07-04
    • 文件大小:33792
    • 提供者:hello_tr
  1. 以太网MDIO接口

    1下载:
  2. 以太网的mac层与phy芯片之间的mdio接口通信,现已在lattice环境验证通过。
  3. 所属分类:VHDL编程

  1. phone_charge

    1下载:
  2. 投币式手机充电仪(另一个版本) 分为时间显示和金额显示两部分 根据投入的金额显示两倍时间,可随时清零,确定后倒计时,结束后自动返回初始状态(Coin-operated mobile phone charger(Another version) It is divided into two parts: time display and amount display. According to the amount of input, it shows twice the time. It can
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-24
    • 文件大小:1864704
    • 提供者:ctrlwdza
  1. 自动售货机

    1下载:
  2. 使用1200PLC仿真实现自动售货机的运行过程(Using 1200 PLC to simulate the running process of vending machine)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-24
    • 文件大小:739328
    • 提供者:singker
  1. TFT驱动显示

    0下载:
  2. 有verilog 编程语言,分为三个模块,包括pll锁相环,dispaly,以及driver模块
  3. 所属分类:VHDL编程

  1. pps_ketiao_rb2

    1下载:
  2. FPGA程序,使用Verilog语言生成1个脉冲可调的PPS脉冲信号。(FPGA program generates 1 PPS pulse signal, using Verilog language.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-17
    • 文件大小:7772160
    • 提供者:张媛
  1. BPSK

    1下载:
  2. 先用Matlab理论仿真,得出滤波器系数。再用Verilog语言在ISE环境下编写程序,通过Modelsim和ChipScope进行波形仿真和引号抓取,从而提高调试的效率。通过手机发送指令来控制上下变频器的参数。(Firstly, the filter coefficients are obtained by simulation with the theory of matlab. Then the program is written in Verilog language under IS
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:6740992
    • 提供者:财哥在此
  1. svtb_ahb_sram

    2下载:
  2. 一款verilog设计的SRAM控制器,可以实现AHB总线控制的功能。(abcdefghijklmnopqrstuvwxyz)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-10
    • 文件大小:3379200
    • 提供者:fkmeng
  1. S03_基于ZYNQ的DMA与VDMA的应用开发

    2下载:
  2. VIVADO dma以及vdma 使用文档 基于ZYNQ 7020(vivado DMA&VDMA example text of zynq)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-05
    • 文件大小:9689088
    • 提供者:kernelstory
  1. S04_基于ZYNQ的HLS 图像算法设计基础

    1下载:
  2. VIVADO HLS IMAGE 使用文档(vivado image processing example text of zynq)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-05
    • 文件大小:7998464
    • 提供者:kernelstory
  1. S05_example_Network

    1下载:
  2. vivado lwip 应用文档 基于zynq 7020(vivado lwip example text of zynq)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-05
    • 文件大小:3401728
    • 提供者:kernelstory
  1. sram

    1下载:
  2. FPGA 读写 SRAM 存储块,verilog代码(Read and write SRAM memory block and Verilog code in FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-30
    • 文件大小:1379328
    • 提供者:bin_mm3
« 1 2 ... .01 .02 .03 .04 .05 4306.07 .08 .09 .10 .11 ... 4322 »
搜珍网 www.dssz.com