CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .69 .70 .71 .72 .73 4274.75 .76 .77 .78 .79 ... 4322 »
  1. ddr2

    2下载:
  2. ddr2的功能控制模块,3部分,只要调取就可以。-ddr2 control codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-26
    • 文件大小:5120
    • 提供者:wenxin
  1. 30S_basketball

    2下载:
  2. 设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。-It designed a 30-second timer basketball competition. This timer functions, can be directly cleared, start, pause, and a row and a ph
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:805849
    • 提供者:刘一航
  1. NIOSII_TFT_COMS

    2下载:
  2. 带FIFO的ov7670 FPGA应用程序,经测试可用,望采纳。-With the FIFO the ov7670 FPGA applications used by the test, looking to adopt.
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-02
    • 文件大小:1683714
    • 提供者:尹鹏
  1. niosii-triple-speed-ethernet

    2下载:
  2. 这是用sopc搭建的一个工程,实现三速以太网的传输。开发版是3c120-This is an engineering sopc structures, triple-speed Ethernet transmission. The Developer Edition is 3c120
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-28
    • 文件大小:5342208
    • 提供者:刘艳竹
  1. ad7928

    2下载:
  2. ad7928的采集控制,用verilog HDL语言编写,已在测试板上测试程序。-Ad7928 collection control, use verilog HDL language, and has set up a file in the test board test procedure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-22
    • 文件大小:1024
    • 提供者:金伟
  1. ad9850

    2下载:
  2. 介绍了用FPGA控制DDS产生任意频率范围之内的可调制正弦波,13位BPSK,ASK等。控制字由串口写入。-verilog control AD9850 to get psk ask
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:1415348
    • 提供者:chen
  1. shift-register

    2下载:
  2. 一个8位的左右移位寄存器电路,输入为时钟信号CLK,方向控制信号D, 输出信号为每个寄存器的状态。 -An 8-bit left and right shift register circuit, the input of the clock signal CLK, the direction control signal D, the output signal of the status of each register.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:716
    • 提供者:victor
  1. EMAC6

    2下载:
  2. verilog实现的FPGA三态以太网链路层通信代码,里面有状态机,并按各个模块的功能分了文件夹,还有说明文档,自定义帧的产生和接收,开发环境为Xilinx ISE,测试无误。-verilog realization FPGA Tri-Mode Ethernet link layer communication code, which the state machine, according to the function of each module sub folder, as well a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-20
    • 文件大小:3602432
    • 提供者:trygov
  1. verilog串口收发模块程序

    2下载:
  2. 基于verilogHDL语言的RS232串口收发模块程序
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-17
    • 文件大小:277504
    • 提供者:zhang_q
  1. ft245new

    2下载:
  2. FPGA与ft245之间的通信,可用FTDI公司自带的labview上位机通信软件进行上位机与FPGA之间通信,已测试过,可用-Communication between the FPGA and ft245 available FTDI comes labview host computer communication software for communication between the host computer and FPGA, has been tested, availabl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-24
    • 文件大小:1736704
    • 提供者:张浩
  1. C6678-FPGA-source-(very-good)

    2下载:
  2. TI公司8核DSP C6678开发板fpga源码,很好。-TI DSP C6678 fpga code
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:55876
    • 提供者:邹福
  1. pci-express-system-architecture.pdf.tar

    2下载:
  2. PCI Express is a high performance, general purpose Serial I/O Interconnect defined for a wide variety of future computing and communication platforms. The basic premise of PCI Express is that the host PCI software remains compatible with an e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:4630597
    • 提供者:mahavir
« 1 2 ... .69 .70 .71 .72 .73 4274.75 .76 .77 .78 .79 ... 4322 »
搜珍网 www.dssz.com