CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .97 .98 .99 .00 .01 4302.03 .04 .05 .06 .07 ... 4322 »
  1. Cordic

    3下载:
  2. cordic算法ip核,国外网站搞到的,可以应用于电机控制,快速数值计算,基于FPGA硬件实现-cordic ip core,just enjoy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:241417
    • 提供者:刘业超
  1. rs232

    3下载:
  2. 基于VERILog的RS232模块的程序,收发两个模块都有-The RS232 module based VERILog program, send and receive two modules have
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:395837
    • 提供者:冯超
  1. awgn

    3下载:
  2. 高斯白噪声的VHDL实现。伪随机序列只能输出均匀噪声,需要打乱相关性。-awgn in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-18
    • 文件大小:1298
    • 提供者:terry.ding
  1. Xilinx_PCIE_DMA

    3下载:
  2. Xilinx芯片所有关于PCI Express接口的DMA源代码,包含相关的配套的文档资料。-Xilinx chip on the PCI Express interface for all DMA source code, including relevant supporting documentation.
  3. 所属分类:VHDL-FPGA-Verilog

  1. Greedy_Snake_verilog

    3下载:
  2. 基于FPGA的verilog代码,在Spartan3开发板上实现了传统贪吃蛇的游戏,通过VGA显示在屏幕上。按键控制方向。-This is a FPGA project, which used verilog and implemented the traditional game of Greedy Snake.
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-19
    • 文件大小:6818
    • 提供者:onioncc
  1. turbo_encoder

    3下载:
  2. 在赛灵思的FPGA上实现turbo码的编码程序,使用Verilog语言实现。-Implemented on Xilinx FPGA in the turbo coding principle, the use of Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:24900
    • 提供者:黄一
  1. wavelet

    3下载:
  2. 基于DB8小波变换的verilog代码设计,支持Avalon总线-Verilog DB8 Wavelet Transform Based on code design, support Avalon bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7452
    • 提供者:jacky
  1. cordic

    3下载:
  2. CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法。 CORDIC算法,能够通过平移和累加快速实现基础的数学函数,包括三角函数,开方,指数,对数,平方根等函数。-CORDIC (Coordinate Rotation Digital Computer) algorithm for the coordinate rotation digital calculation. CORDIC algorithm can be achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1376
    • 提供者:陈华
  1. SD_Controller_Verilog

    3下载:
  2. 该程序包是SD卡/MMC卡控制器SDC的verilog语言包,它包括以下4部分:RTL源代码,测试平台,软件仿真文件,说明文件。-This source package is the SD card and MMC card controler model based on the Verilog language. It has the following 4 parts: RTL language, testbench, software simulating files and help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:1659904
    • 提供者:jinjin
  1. cpu

    3下载:
  2. 用Verilog语言编写的单周期cpu,实现的指令有 add,addu,addi,addiu,sub,subu,clo,clz,xori,nor,slt,slti,sltu,sltiu,blez,j.-Verilog languages ??with single-cycle cpu, implementation instructions are add, addu, addi, addiu, sub, subu, clo, clz, xori, nor, slt, slti, sltu,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-16
    • 文件大小:5120
    • 提供者:yejunjian
  1. gtech_lib

    3下载:
  2. GTECH library cells file. Its very usuful in asic synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5260
    • 提供者:Vbhat
  1. verilogfile

    3下载:
  2. 设计一个同步FIFO,该FIFO 深度为16,每个存储单元的宽度为8 位,要求产生FIFO 为 空、满、半满、溢出标志。请采用可综合的代码风格进行编程。-16*8bit fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1555
    • 提供者:James
« 1 2 ... .97 .98 .99 .00 .01 4302.03 .04 .05 .06 .07 ... 4322 »
搜珍网 www.dssz.com