CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .58 .59 .60 .61 .62 33363.64 .65 .66 .67 .68 ... 33645 »
  1. mac

    2下载:
  2. verilog 实现乘累加器 源代码 以及测试代码 mac.v mac_tb.v-verilog Achieved by the source code and test code accumulator mac.v mac_tb.v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1125
    • 提供者:keyCSky
  1. stepmoto_pwm_test

    2下载:
  2. 笙泉单机2路PWM控制步进电机,步进电机细分-Megawin single 2 way PWM control of stepper motor, stepper motor subdivision
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:2149
    • 提供者:lzq
  1. systolic

    2下载:
  2. 实现QR_RLS算法,基于fpga 的非线性功放的dpd实现-realize QR_RLS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:24630
    • 提供者:wangding
  1. single_cycle_cpu

    2下载:
  2. 单周期CPU,single_cycle_cpu,南京大学计算机系计算机组成原理实验-Single-cycle CPU, single_cycle_cpu, Nanjing University Computer Science Department of Computer Composition Principle Experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1846993
    • 提供者:sunying
  1. hanshack

    2下载:
  2. 用verilog编写的握手通信机制(req和ack),方便大家了解整个流程。-Use the verilog language to write the handshack commulation ways(req and ack)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:339803
    • 提供者:ghj
  1. vertosysc

    2下载:
  2. verilog转换为systemc代码,用于RTL到系统建模-verilog to systemc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5436
    • 提供者:jason
  1. PCIeDDR2add

    2下载:
  2. PCIE-DDR2-双通道ADDA板主要用于AD数据的记录与回放。该板主要使用Xilinx公司的Virtex5 FPGA,通过PCIE IP核与主机通讯,存储系统包括DDR2 SDRAM和FLASH,为各种软件无线电技术的应用提供了一个非常强大的单插槽收发器解决方案。-PCIE-DDR2 dual-channel ADDA board is mainly used for the AD data recording and playback. The board Virtex5 the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:254893
    • 提供者:dj
  1. Frame-synchronizer-

    2下载:
  2. 原创,帧同步器的Verilog代码,在FPGA上验证实现过,无误。作为通信系统帧传输的仿真,有限状态机同步态和失步态的切换仿真。-Original Verilog code for frame synchronization, verify the implementation on the FPGA, and correct. Frame transmission as the communication system simulation, finite state machine sync
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-09
    • 文件大小:3072
    • 提供者:ZLS
  1. decoder

    2下载:
  2. 设计遥控器接收解码电路。该电路接收编码后的串行数据,解码输出数据。电路接收到的串行数据的格式为:4位同步码“1010”,4位数据(高位在前),1位奇校验码(对前8位数据校验)。解码电路检测到校验位正确后,输出数据及一个时钟周期的数据有效脉冲。如果校验位错误,则不输出数据,也不输出数据有效脉冲。画出状态转移图,标明各个状态的转移条件和输出-Design of remote control receiving and decoding circuit. The circuit receives th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:72912
    • 提供者:geng
  1. lmsFPGA

    2下载:
  2. 利用VHDL编程实现自适应滤波算法的程序,实现LMS算法-VHDL programming procedures for adaptive filtering algorithms, the LMS algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-13
    • 文件大小:8183
    • 提供者:朱岩
  1. PCM

    2下载:
  2. 本例设计一个码率为500kb/s,字长为8 位、帧长为128 个字、帧同步码为EB90H 的PCM 采编器。用VHDL语言实现的。-This designs a code to lead for the 500 kbs|s, the word is long for 8, the growing is synchronous code of for 128 words and for the EB90 H of PCM adopt to weave a machine.Use what VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-06
    • 文件大小:97426
    • 提供者:mr.liu
  1. code

    2下载:
  2. 用system verilog 描述的APB总线验证源码,可以用于学习system verilog的使用。-System Verilog descr iption APB bus test source code, can be used to study the use of system Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:18713
    • 提供者:李超
« 1 2 ... .58 .59 .60 .61 .62 33363.64 .65 .66 .67 .68 ... 33645 »
搜珍网 www.dssz.com