CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .35 .36 .37 .38 .39 4240.41 .42 .43 .44 .45 ... 4322 »
  1. DigitalClockVHDL

    0下载:
  2. 多功能电子时钟的VHDL源代码。使用MAX+PLUS II进行编译。该文档有详细的说明和程序注释。-VHDL source code. Use MAX PLUS II computer. The document is described in detail in the Notes and procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:84617
    • 提供者:wangyiran
  1. simple_cpu

    0下载:
  2. 初学cpu结构的很好的verilog代码的示例,适合初学者-novice cpu structure of the good verilog code examples for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:79914
    • 提供者:mapleni
  1. sdram_verilog

    0下载:
  2. 这是使用VERILOG语言,基于MICRON公司的SDRAM开发的SDRAM接口逻辑-verilog This is the use of language, MICRON-based company's development of the SDRAM SDRAM interface logic
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:414928
    • 提供者:hanfei
  1. traffic2

    0下载:
  2. 用verilog编的小程序,希望对需要的人有所帮助-verilog series with a small procedure, and I hope to the people in need some help
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1090
    • 提供者:小名
  1. verilogled

    0下载:
  2. cpld-epm7128stc100-10驱动四位LED结果显示1234-cpld - epm7128stc100-10 drive four LED 1234 results
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:198295
    • 提供者:章风
  1. cpldPWM

    0下载:
  2. verilog HDL 编写的PWM,是初学CPLD者入门Z资源,epm7128stc100-10-verilog HDL prepared by the PWM, is a novice CPLD Getting Started Z resources, epm7128stc100-10
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:236956
    • 提供者:章风
  1. mouse_control

    0下载:
  2. 1、 用FPGA实现PS/2鼠标接口。 2、 鼠标左键按下时十字形鼠标图象的中间方块改变颜色,右按下时箭头改变颜色。 3、 Reset按键:总复位。 -one with FPGA PS / 2 mouse interface. 2, the left mouse button pressed cruciform images in the middle mouse to change the color box, press the right arrow at the change
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9935
    • 提供者:lee
  1. mcnc

    0下载:
  2. 好不容易才从国外网站上下下来的哦,希望大家多多支持……!-eyebrows from overseas sites from the next, oh, I hope Members can support ...!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:56991
    • 提供者:谢敏
  1. bsl_shr

    0下载:
  2. 桶形移位寄存器哦,非常好用,已经仿真验证过了,绝对没有错误-bucket shift register Oh, very convenient, has been tested by simulation, there is no wrong
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1534
    • 提供者:谢敏
  1. CPLDxiaoche

    0下载:
  2. 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1369
    • 提供者:lili
  1. adder_4bit

    0下载:
  2. 四位加法器,用OrCAD完成,可用于八位乃至十六位加法器的设计原型-four adder with OrCAD completed, can be used for eight or even 16 Adder design prototype
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1361
    • 提供者:z9z9
  1. SCAN4

    0下载:
  2. 四位信号检测器,用OrCAD完成,用于输入信号与机内信号的监测比较-four signal detector, complete with OrCAD for the input signal and the signal for more monitoring
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1461
    • 提供者:z9z9
« 1 2 ... .35 .36 .37 .38 .39 4240.41 .42 .43 .44 .45 ... 4322 »
搜珍网 www.dssz.com