CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 42 43 44 45 46 4748 49 50 51 52 ... 4322 »
  1. UART

    0下载:
  2. 基于NIOS2的串口初始化设计程序,在应用中只要加上这个初始化就可完成所有的初始化任务-Based on the serial port initialization NIOS2 design process, in applications, coupled with this initialization can be completed as long as all of the initialization task
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:588
    • 提供者:李成有
  1. VndingMachine

    0下载:
  2. 1.机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2.购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器将退出所投入的全部金额。 3.顾客投入硬币之后,如果未按确定键而
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:588
    • 提供者:林晓
  1. AdditionCounter

    0下载:
  2. 一个带有异步复位和同步时钟使能的十进制加法计数器-Asynchronous reset and synchronization with a clock enable decimal addition counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:588
    • 提供者:John
  1. zq_100us

    0下载:
  2. 利用VHDL实现偶数分频,设计了一种能够实现等占空比的任意偶数分频、等占空比任意奇数分频、不等占空比的任意半整数分频的较为通用的分频器,并通过QuartusII进行了功能仿真。 -Use VHDL to achieve an even frequency, designed to achieve such a duty cycle of any even frequency, such as the duty cycle divide any odd number, ranging from
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:588
    • 提供者:liu
  1. AB-4F

    0下载:
  2. 基于CPLD 的四倍频辩向电路设计-24位计数 8位单片机数据输出-Based on the CPLD optical pulse encoder signal multiplier circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:588
    • 提供者:l
  1. johnson_count_tb

    0下载:
  2. JHONSON COUNTER TEST BENCH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:588
    • 提供者:pranav ette
  1. RAM_Delay

    0下载:
  2. 利用块RAM实现数据延时,ab两路数据的位宽都是32位,a路延时16个时钟,b路延时8个时钟-Using block RAM data latency, ab two way data bits wide is 32, a way to delay 16 clock, eight clock delay b road
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:588
    • 提供者:PT
  1. clkdiv3.v

    0下载:
  2. a program which divides the clock by 3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:589
    • 提供者:vlsi
  1. LED7s

    0下载:
  2. 用VHDL语言编写的 LED七段显示译码器-Written in VHDL language with the LED seven-segment display decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:589
    • 提供者:hualiayue
  1. seg

    0下载:
  2. 数码管显示(verilog) 自己写的 在数码管上显示01234567 动态显示-Digital LED display (verilog) himself wrote in the digital tube display 01234567 dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:589
    • 提供者:Along
  1. adder16_2

    0下载:
  2. 16位2级流水线加法器的Verilog设计-16 2 pipeline adder Verilog Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:589
    • 提供者:xiaobai
  1. transpose_buffer

    0下载:
  2. verilog source code for transpose buffer 8x8 matrics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:589
    • 提供者:abanuaji
« 1 2 ... 42 43 44 45 46 4748 49 50 51 52 ... 4322 »
搜珍网 www.dssz.com